8路智力竞赛抢答器方案(完整方案).pdfVIP

8路智力竞赛抢答器方案(完整方案).pdf

  1. 1、本文档共16页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多

8路智力竞赛抢答器方案(完整方案)--第1页

昆明工业职业技术学院

课程设计

设计题目:8路智力竞赛抢答器的设计

班级:10级计算机控制技术

学生姓名:

学号:

指导教师:白文忠职称:讲师

-1-

8路智力竞赛抢答器方案(完整方案)--第1页

8路智力竞赛抢答器方案(完整方案)--第2页

提交日期:2012年月日

8

摘要

本设计主要介绍用数电知识设计八路抢答器。优先编码电路、锁存器、译码

电路将参赛队的输入信号在显示器上输出,并与主持人开关相连接,即构成了抢

答器的主体电路。经过布线、接线、调试等工作后数字抢答器成形。借助较少的

外围元件完成抢答的整个过程,设计制作了八路抢答器,设计编程简单,工作稳

定可靠。可供8人或8个代表队抢答,并用7段数码管显示首先抢答者的组别号

码,有人抢答后自动闭锁其他各路输入,禁止其他人抢答,使其他组的开关失去

作用,而显示最先按下抢答键的组别号。只有当主持人按下复位按键才能再次抢

关键词:八路抢答器;定时;计时;编码;译码

designmainlyintroducesseveralelectricaldesignwith

knowledgeofeightwayresponder.Priorityencodercircuit,latch,decoder

-2-

8路智力竞赛抢答器方案(完整方案)--第2页

8路智力竞赛抢答器方案(完整方案)--第3页

willbeteamsoftheinputsignalinthedisplayoutput,andhost

switchconnected,whichconstitutethemaincircuitoftheresponder.After

wiring,wiring,commissioningworkformingdigitalanswering

device.Withfewerperipheralcomponentfinishviestoanswerfirstthe

entireprocess,designofeightwayresponder,programmingissimple,

stableandreliablework.For8or8teamsviestoanswerfirst,andthe7

digitaltubedisplayfirstrespondergroupnumber,automaticlockingother

peopleansweraftereachinput,nootheranswer,theothergroupswitch

outofaction,andshowthefirstpressingtheanswerkeycategory.Only

whenthehostpresstheresetbutt

文档评论(0)

166****6209 + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档