FPGA题目_原创文档.pdfVIP

  1. 1、本文档共7页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多

注:标红色为男生讨论的重点答案

这是男生的心血啊。珍惜…

一简答题30分(每题五分)

二判断下列程序是否有错误,如有则指出错误所在,并给出完整程序(20分)

三解释程序(30分)

四编程序(20分)

1.已知电路原理图如下,请用VHDL语言编写其程序

2.用元件例化语句设计如图所示电路,元件为2输入与非门。

1.简述quartusII的设计流程。

答:设计输入,综合,布局布线,时序分析,仿真,编程与配置。

2.quartusII开发工具为设计者提供了哪些库?各有什么功能?

答:样板二:1)Megafunction库,参数化宏模块库。算术运算模块,逻辑模块,存储模

块,I/O模块;

2)Maxplus2库,时序电路宏模块,运算电路宏模块

3)Primitives库,存储单元,逻辑门,缓冲器,I/O引脚和其他功能模块

3.quartusII的综合编译器包含哪些内容?

答:编译,网表输出,综合,配置器件,将设计配置到ALTERA器件中,编译器根据器件

特性产生真正延时时间并给器件的配置文件。

4.什么叫功能仿真?什么叫时序仿真?两者有什么区别?

答:功能仿真又称前仿真,是在不考虑器件延时的理想情况下的一种项目验证方法,通过功

能仿真来验证一个项目的逻辑功能是否正确。时序仿真又称模拟仿真或后仿真,是在考虑设

计项目具体适配器件的各种延时的情况下的一种项目验证方法。时序仿真不仅测试逻辑功

能,还测试目标器件最差情况下的时间关系。

5.设计输入有几种方法?怎样选择?

答:1)QuatusII本身具有的编辑器:原理图式图形设计输入;文本编辑输入;内存编辑输

入。2)第三方EDA工具编辑的标准格式文件。3)采用一些别的方法优化和提高输入的

灵敏度,乳混合设计格式,他利用LPM和宏模块来加速设计输入。

6.根据下面的VHDL语句,描述出相应的电路原理图。

LIBRARYIEEE;

USEIEEE.std_logic_1164.ALL;

USEIEEE.std_logic_unsigned.ALL;

ENTITYcfq_1IS

PORT(d,cp:INstd_logic;

q,nq:OUTstd_logic);

ENDcfq_1;

ENDar4;

ARCHITECTUREar_4OFcfq_1IS

BEGIN

PROCESS(CP)

BEGIN

IFcp=’1’THEN

q=d;

nq=NOTd;

ENDIF;

ENDPROCESS;

答:

7.名词解释:VHDL,实体说明,结构体,类属表,数据对象,并行语句,程序包。

答:

1.VHDL:非常高速集成电路的硬件描述语言。

2.实体说明:用来描述电路器件的外部端口信号和参数的属性和设置。

3.结构体:描述了设计实体所要实现的功能,指明了设计实体中的行为和内部器件的连

接关系以及数据流程。

4.类属表:用来确定设计实体中定义的局部常数,用以将信息参数传递到实体,用类属

表指明器件的一些特征。

5.数据对象:是数据类型的载体,共有三种形式的对象:Constant(常量)、Variable

(变量)、Signal(信号)。

6.并行语句:就是语句之前不存在前后顺序关系,各条在执行过程中式并发完成的,与

语句的书写顺序无关。

7.程序包:用来单纯的罗列VHDL语言中所要用到的信号定义,常数定义,数据类型,元件语

句,函数定义和过程定义,由程序包标题,程序包体组成。

8.简述FPGA的结构。

答:

FPGA由可编程逻辑块;可编程I/O模块;可编程内部连线等三种可编程电路和一个

SRAM结构的配置存储单元组成。

9.FPGA有哪几种编程技术?

答:

1一次编程型

2可重复编程型。

10.设计时怎样选择FPGA器件?

1.逻辑单元

文档评论(0)

185****0262 + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档