自动售货机电路设计VHDL.pdfVIP

  1. 1、本文档共36页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多

自动售货机电路设计VHDL--第1页

郑州轻工业学院

课程设计任务书

题目自动售货机电路设计

专业、班级电信2班学号541101030217姓名李磊

主要内容、基本要求、主要参考资料等:

查阅资料完成自动售货机的设计思路,利用硬件编程语言VHDL

或者Verilog-HDL来实现,要求能够识别100元、50元、10元、5

元及其钢崩1元五种面值的设计,能够找零,设计中假设找零货贝充

裕,货物的价格不允许出现0.5元的情况。给出完成控制电路所需要

的设计模块;给出硬件编程语言的实现,并进行仿真;给出下载电路

的设计,设计为2种下载方法,其中一种必须为JTAG;同时设计者

报告不允许雷同。

参考资料:

1、潘松、黄继业《EDA技术及其应用》(第四版)科学出版

社2009

2、数字信号处理的教材

完成期限:

指导教师签名:

课程负责人签名:

自动售货机电路设计VHDL--第1页

自动售货机电路设计VHDL--第2页

自动售货机电路设计

摘要

随着电子技术的发展,当今数字系统的设计正朝着速度快,容量大,体积小,

重量轻的方向发展,推动该潮流迅猛发展的引擎就是日趋进步和完善的ASIC设

计技术,AISC芯片具有价格低,体积小,可靠性高等优点,目前在电子产品中

已有广泛的应用,VHDL是一种用来描述数字逻辑系统的“编程语言”,它通过对

硬件行为的直接描述来实现对硬件的物理实现,代表了当今硬件设计的发展方

向。

本文是在VHDL的基础上对自动售货机进行设计来实现其基本功能的,采用

了Altera的开发软件QuartsII。通过在该软件平台上进行数字电路设计和仿

真的方法,阐述了VHDL(VeryHighSpeedIntegratedCircuitHardware

DescriptionLanguage)超高速集成电路硬件描述语言的一些特点及语法结构,

介绍了自动售货机的基本原理、系统组成和主要功能,并分析讨论了用VHDL语

言开发自动售货机系统的设计流程。本设计采用VHDL硬件描述语言编程的设计

方法设计系统核心电路的硬件程序,在QuartusII软件平台上进行编译和仿真。

文章首先简述了自动售货机系统的意义和发展现状以及VHDL语言的特点,

然后介绍了自动售货机的设计要求、设计思路,并给出了总体设计框图,通过分

析设计写出VHDL程序源代码,将代码在QuartusII软件平台上进行编译仿真,

波形基本符合设计要求。最终完成的自动售货机系统具有商品选择,投币处理、

出货找零、异常退币等主要功能,整个系统的开发体现了在QuartusII软件平

台上用VHDL设计数字控制系统的实用性。

关键词自动售货机硬件描述语言VHDLQuartsIIFPGA

II

自动售货机电路设计VHDL--第2页

自动售货机电路设计VHDL--第3页

您可能关注的文档

文档评论(0)

153****4124 + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档