函数发生器课设计.pdfVIP

  1. 1、本文档共25页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多

摘胡欢欢要

函数发生器一般是指能自动产生正弦波、三角波、方波及锯齿波、阶梯波

等电压波形的电路或仪器。本课题采用由集成运算放大器与晶体管差分放大器

共同组成的方波→三角波→正弦波函数发生器的设计方法。

电路设计部分利用protel99软件进行设计。Protel设计系统是世界上第

一套将EDA环境引入到Windows环境中的EDA开发工具,是具有强大功能的电

子设计CAD软件,它具有原理图设计、印刷电路板(PCB)、设计层次原理图设

计、报表制作、电路仿真以及逻辑器件设计等功能,是进行电子设计最有用的

软件之一。

课题利用multisim软件进行仿真、调试。

关键词分立器件;集成;电子设计;电路板;仿真

ABSTRACT

Generallyfunctiongeneratoriscircuitsofvoltagewaveformor

instrumentwhichcanautogeneratesinewave,triangularwave,square

wave,sawtoothwaveandstepwaveetc..Thistaskadoptfunction

generatordesignmethodofintegratedoperationalamplifier,

transistoranddifferenceamplifiertogetherconstitutesquarewave

thentriangularwaveandthensinewave.

Thecircuitdesignpartlytakeadvantageofsoftwareprotel99.

ProteldesignsystemisakindofEDAdevelopmenttool,whichisthe

modeltestoneintroduceEDAsettingtoWindowssettingintheworld,

whichcontainsagreatfunctionalCADsoftwareofElectronicdesign,

hasthefunctionssuchasschematicdiagram,printedcircuit

board(PCB),designofleveldesignschematicdiagram,manufacture

reportforms,circuitsimulationanddesignoflogicdevice,isone

ofthemostusefulsoftwareforelectronicdesign.

ThetaskuseMultisimtosimulateanddebug.

Keywords:discretedevice;integration;electronicdesign;

circuitboard;simulate

目录

1函数发生器的组成1

1.1函数发生器简介1

1.1.1方波→三角波产生电路1

1.1.2三角波→正弦波变换电路4

1.2函数发生器的性能指标6

1.2.1输出波形6

1.2.2频率范围6

1.2.3输出电压7

1.2.4波形特性7

2课程设计8

2.1设计课题8

文档评论(0)

135****8346 + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档