正弦信号的设计、基于verilog的设计.pdfVIP

正弦信号的设计、基于verilog的设计.pdf

  1. 1、本文档共9页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多

桂林理工大学信息学院EDA仿真与理论实习报告

EDA仿真与理论实习报告

所属课程:EDA仿真与理论实习

设计题目:正弦函数信号发生器的设计

指导教师:

学院:

班级:

姓名:

学号:

实验地点:教一楼〔EDA实验室1310〕

实验时间:2021年6月4号—2021年6月22号

目录

第一章设计目的2

第二章设计要求2

第三章设计内容2

第四章设计原理2

第五章设计步骤3

〔两种方法〕3

5.2定制LPM_ROM4

5.3完成顶层设计5

第六章课程设计总结8

第一章设计目的

进一步熟悉QuartusII6.0及其LPM_ROM与FPGA硬件资源的使用方法。培

养动手才能以及谐作才能。

第二章设计要求

1、CLK为12MHz。

2、通过DAC0832输出正弦波电压信号,电压范围0~-5V。

3、通过仿真观察波形。

第三章设计内容

在QuartusII上完成正弦波信号发生器的设计,包括仿真和资源利用情况

理解〔假设利用Cyclone器件〕。最后在实验系统上实测,包括FPGA中ROM的在

系统数据读写测试和仿真测试。信号输出的D/A使用实验系统上的DAC0832。

第四章设计原理

正弦波信号发生器的构造由四部分组成:

1、计数器或地址发生器〔这里选择6位〕。

2、正弦信号数据ROM〔6位地址线,8位数据线〕,含有64个8位数据〔一

个周期〕。

3、VHDL顶层设计。

4、8位D/A〔实验中可用ADC0832代替〕。

图1所示的信号发生器构造框图中,顶层文件singt.vhd在FPGA中实现,

包含两个部分:ROM的地址信号发生器,由6位计数器担任;一个正弦数据ROM,

由LPM_ROM模块构成。LPM_ROM底层是FPGA中的M4K模块。地址发生器的时钟

CLK的输入频率与每周期的波形数据点数〔在此选择64点〕,以及D/A输出的频

率f的关系是:f=fo/64

VHDL顶层设计

6位计数器正弦波数据8位波形数据

〔地址发生器〕存储ROMD/A输出

图1正弦信号发生器构造框图

第五章设计步骤

首先确定如图1中所示的波形数据文件。QuartusII能承受的ROM模块中的

初始化数据文件的格式有两种:MemoryInitializationFile文件〔.mif文件〕

格式和Hexadecimal〔Intel-Format〕File文件〔.hex文件〕格式。

〔两种方法〕

方法一:首先在QuartusII中选择ROM数据文件编辑窗口,即在File菜单

中选择New并在New窗口选择Otherfile页,再选择MemoryInitialization

File项,单击OK按钮后产生ROM数据文件大小选择窗口。根据64点8位正弦数

据的情况,可选ROM的数据数Number为64位,数据宽Wordsize

文档评论(0)

134****9880 + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档