第六章-时序逻辑电路1.ppt

  1. 1、本文档共30页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多

数字电子技术信息与电气工程学院第六章时序逻辑电路(10学时)6.1概述6.2时序逻辑电路的分析方法6.2.1同步时序逻辑电路的分析方法6.2.2时序逻辑电路的状态转换表、状态转换图、状态机流程图和时序图6.2.3异步时序逻辑电路的分析方法6.1概述(1)时序逻辑电路的特点功能上任一时刻的输出不仅取决于该时刻的输入,还与电路原来的状态有关。电路结构上包含存储电路和组合电路。存储器状态和输入变量共同决定输出。6.1概述(1)时序逻辑电路的特点功能上 任一时刻的输出不仅取决于该时刻的输入,还与电路原来的状态有关。例如:串行加法器 两个多位数从低位到高位逐位相加。6.1概述(2)时序逻辑电路的特点电路结构上包含存储电路和组合电路。存储器状态和输入变量共同决定输出。6.1概述(3)时序逻辑电路的一般结构形式6.1概述(4)三个描述方程6.1概述(5)时序逻辑电路的分类同步与异步同步:存储电路中所有触发器的时钟使用统一的clk,状态变化发生在同一时刻。异步:没有统一的clk,触发器状态的变化有先有后。米利(Mealy)型和穆尔(Moore)型Mealy型:Moore型:6.2.1同步时序电路的分析方法(1)分析找出给定时序电路的逻辑功能,即找出在输入和CLK作用下,电路的次态和输出。一般步骤3个描述方程从给定电路写出存储电路中每个触发器的驱动方程,得到整个电路的驱动方程。将驱动方程代入触发器的特性方程,得到状态方程。从给定电路写出输出方程。6.2.1同步时序电路的分析方法(2)例如:6.2.1同步时序电路的分析方法(3)例如:6.2.1同步时序电路的分析方法(4)例如:6.2.2时序逻辑电路的状态转换表(1)状态转换表(真值表)6.2.2时序逻辑电路的状态转换表(2)例如:没有输入变量,设电路初态Q3Q2Q1=000。电路次态Q*3Q*2Q*1=001,输出变量Y=0。6.2.2时序逻辑电路的状态转换表(3)状态转换表6.2.2时序逻辑电路的状态转换表(3)次态卡诺图6.2.2时序逻辑电路的状态转换图(1)状态转换图(七进制加法计数器)自启动6.2.2时序逻辑电路的时序图(1)时序图6.2.2时序逻辑电路的状态转换图(2)例如:依次列出驱动方程、状态方程和输出方程。6.2.2时序逻辑电路的状态转换图(3)根据状态方程和输出方程画出次态卡诺图。6.2.2时序逻辑电路的状态转换图(4)根据状态转换表画出状态转换图。6.2.2时序逻辑电路的状态机流程图(1)状态机流程图(SM图、ASM图)用类似程序流程图的形式表示在一系列时钟脉冲作用下时序电路状态转换的流程以及每个状态下的输入和输出。3种图形符号6.2.2时序逻辑电路的状态机流程图(2)SM模块:1个状态框+若干判断框和条件输出框6.2.2时序逻辑电路的状态机流程图(3)例如:6.2.2时序逻辑电路的时序图(2)时序图6.2.3异步时序逻辑电路的分析方法(1)各触发器的时钟不同。例如:驱动方程 状态方程6.2.3异步时序逻辑电路的分析方法(2)输出方程:C=Q0Q3状态转换表(初态Q3Q2Q1Q0=0000)6.2.3异步时序逻辑电路的分析方法(2)次态卡诺图6.2.3异步时序逻辑电路的分析方法(3)状态转换图(自启动)异步十进制加法计数器作业P346~3476.3、6.5、6.6**状态方程输出方程输入变量电路初态输出变量电路次态1000111100001100111010101001000111001100100010100010000011110000070000110116010150001401103001020100100000000/1000/1110/0101/01011/0100/0010/0001/001011010011123456701/010/000/011/1111/000/110/001/001011010011状态框判断框条件输出框1001101001110100010000001

文档评论(0)

金华 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档