数字电路技术实验之计数器.pdf

  1. 1、本文档共10页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多

实验七计数器

一、实验目的

1.熟悉中规模集成计数器的逻辑功能及使用方法。

2.掌握用中规模集成计数器构成任意进制计数器的方法。

3.学习用集成触发器构成计数器的方法。

二、实验原理

计数器是一个用以实现计数功能的时序部件,它不仅可以用来对脉冲计数,还常用

作数字系统的定时、分频和执行数字运算以及其他特定的逻辑功能。计数器是由基本的

计数单元和一些控制门所组成,计数单元则由一系列具有存储信息功能的各类触发器构

成,这些触发器有RS触发器、T触发器、D触发器及JK触发器等。计数器在数字系统

中应用广泛,如在电子计算机的控制器中对指令地址进行计数,以便顺序取出下一条指

令,在运算器中作乘法、除法运算时记下加法、减法次数,又如在数字仪器中对脉冲的

计数等等。

计数器种类很多,按构成计数器中的各触发器是否使用一个时钟脉冲源来分,有同

步计数器和异步计数器;根据计数进制的不同,分为二进制计数器、十进制计数器和任

意进制计数器;根据计数的增减趋势,又分为加法、减法和可逆计数器;如按预置和清

除方式来分,则有并行预置、直接预置、异步清除和同步清除等;按权码来分,则有“8421”

码,“5421码”、余“3码”等计数器及可编程序功能计数器等等。目前,无论是TTL还是

CMOS集成电路,都有品种较齐全的中规模集成计数电路。使用者只要借助于器件手册

提供的功能表和工作波形图以及引出端的排列,就能正确地运用这些器件。

1.十进制计数器74LS90(二、五分频)

74LS90是模二-五-十异步计数器。具有计数、清除、置9功能。74LS90包含M=2

和M=5两个独立的下降沿触发计数器,清除端和置9端两计数器公用,没有预置端。

模2计数器的时钟输入端为A(CP),输出端为Q;模5计数器的时钟输入端为B(C

1A

P)。输出端由高位到低位为Q、Q、Q;异步置9端为S和S,高电平有效。即

2DCB9192

只要S·S=1,则输出QQQQ为1001;异步清除端为R和R,当RR·=1,

9192DCBA01020102

且S·S=0时,输出QQQQ=0000;只有RR·=0,S·S=0,即两者全无

9192DCBA01029192

效时,74LS90才能执行计数操作。图7-1是异步十进制计数器74LS90的逻辑电路图。

根据功能表(表7-1)可将74LS90接成模2、模5和模10计数器。模10计数器有

两种接法,如图7-2所示。图(a)输出为8421BCD码,高低位顺序是:QQQQ;图

DCBA

(b)输出为5421BCD码,高低位顺序是QQQQ最高位Q的输出是对称方波。

ADCBA

从逻辑图看出,计数器具有如下功能:

RR=0,RR=1时,计数器置全0。

91920102

RR=0,RR=1时,计数器置为9,即QQQQ=1001。

01029192DCBA

CP=0,CP输入时钟,Q输出,实现模2计数器。

21A

文档评论(0)

各类考试卷精编 + 关注
官方认证
内容提供者

各类考试卷、真题卷

认证主体社旗县兴中文具店(个体工商户)
IP属地宁夏
统一社会信用代码/组织机构代码
92411327MAD627N96D

1亿VIP精品文档

相关文档