定时炸弹解锁游戏(eda).pdfVIP

  1. 1、本文档共6页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多

定时炸弹解锁游戏

一、实验目的

1、深入学习数字系统设计的方法与步骤

2、设计4位十进制频率计,学习较复杂的数字系统设计方法,并运用。

3、用状态机实现序列检测器的设计,并对其进行仿真和硬件测试和应用。

二、实验仪器

PC机,操作系统为Windows2000/XP,本课程所用系统均为WindowsXP,QuartusII5.1

设计平台,GW48系列SOPC/EDA实验开发系统。

三、实验原理

根据频率的定义和频率测量的基本原理,测定信号的频率必须有一个脉宽为1秒的对输

入信号脉冲计数允许的信号;1秒计数结束后,计数值锁入锁存器的锁存信号和为下一测频

计数周期作准备的计数器清0信号。这3个信号可以由一个测频控制信号发生器产生,即图

中的TESTCTL,它的设计要求是,TESTCTL的计数使能信号CNT_EN能产生一个1秒脉宽的周

期信号,并对频率计的每一计数器CNT10的ENA使能端进行同步控制。当CNT_EN高电平时,

允许计数;低电平时停止计数,并保持其所计的脉冲数。在停止计数期间,首先需要产生一

个锁存信号LOAD,在该信号上升沿时,将计数器在前1秒钟的计数值锁存进各锁存器REG4B

中,并由外部的7段译码器译出,显示计数值。设置锁存器的好处是,显示的数据稳定,不

会由于周期性的清零信号而不断闪烁。锁存信号之后,必须有一清零信号RST_CNT对计数器

进行清零,为下1秒钟的计数操作作准备。在CNT4B上加一个来自序列检测器的使能控制

en_dzq,当输入en_dzq为“1”时,计数置一且停止计数,

序列检测器可用于检测一组或多组由二进制码组成的脉冲序列信号,当序列检测器连续

收到一组串行二进制码后,如果这组码与检测器中预先设置的码相同,则输出1,否则输出

0。由于这种检测的关键在于正确码的收到必须是连续的,这就要求检测器必须记住前一次

的正确码及正确序列,直到在连续的检测中所收到的每一位码都与预置数的对应码相同。这

个可作为密码检测模块。

图1

1)序列检测器及其程序

LIBRARYIEEE;

USEIEEE.STD_LOGIC_1164.ALL;

ENTITYSCHKIS

PORT(DIN,CLK,CLR:INSTD_LOGIC;--串行输入数据位/工作时钟/复位信号

en_dzq:OUTSTD_LOGIC);--检测结果输出

ENDSCHK;

ARCHITECTUREbehaveOFSCHKIS

SIGNALQ:INTEGERRANGE0TO8;

SIGNALD_dzq:STD_LOGIC_VECTOR(7DOWNTO0);--8位待检测预置数

BEGINBEGIN

位待检测预置数

PROCESS(CLK,CLR)

BEGIN

IFCLR=1THENQ=0;

ELSIFCLKEVENTANDCLK=1THEN--时钟到来时,判断并处理当前输入的位

CASEQIS

WHEN0=IFDIN=D_dzq(7)THENQ=1;ELSEQ=0;ENDIF;

WHEN1=IFDIN=D_dzq(6)THENQ=2;ELSEQ=0;ENDIF;

WHEN2=IFDIN=D_dzq(5)THENQ=3;ELSEQ=0;ENDIF;

WHEN3=IFDIN=D_dzq(4)THENQ=4;ELSEQ=0;ENDIF;

WHEN4=IFDIN=D_dzq(3)THENQ=5

文档评论(0)

131****6723 + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档