EDA课设——定时器完整版.doc

  1. 1、本文档共13页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多

成绩评定表

学生姓名

班级学号

专业

电子信息工程

课程设计题目

定时器设计

组长签字:

成绩

日期

2015年月日

课程设计任务书

学院

信息科学与工程学院

专业

电子信息工程

学生姓名

班级学号

课程设计题目

定时器设计

一、目得:

训练学生综合运用学过得基础知识,独立进行查找资料、选择方案、代码设计、撰写报告,进一步加深对EDA技术得理解。

二、要求:

能正确划分设计层次;收集资料;独立思考,刻苦专研,严禁抄袭;按时完成设计任务,认真、正确地书写课程设计报告。

三、功能要求:

通过设计,定时器可以整体清零;可以定时最高到99MIN;以秒速度递增至预定时间,以秒速度递减至零。

三、进度安排:

课程设计时间为10天(2周)

1、调研、查资料1天。

2、总体方案设计2天。

3、代码设计与调试5天。

4、撰写报告1天。

5、验收1天。

指导教师:

2015年月日

专业负责人:

2015年月日

学院教学副院长:

2015年月日

目录?

1概述?1

1、1设计背景与意义 1

1、2设计任务 1

1、3设计要求 1

2原理设计及层次划分 1

2、1工作原理?1

2、2层次划分 2

3软件设计?2

3、1控制计数模块模块代码设计?2

3、2报警器模块代码设计?4

3、3译码器模块代码设计?5

3、4定时器原件例化模块代码设计?5

4仿真及测试 6

4、1控制计数模块仿真 6

4、2报警器模块仿真?8

4、3译码器模块代码仿真 9

4、4定时器原件例化模块代码仿真 10

5总结 11

6参考文献 11

1概述

1、1设计背景与意义

EDA技术就是指以计算机为工作平台,融合了HYPERLINK"应用电子技术、计算机技术、信息处理及智能化技术得最新成果,进行电子产品得自动设计。

利用EDA工具,电子设计师可以从概念、算法、协议等开始设计电子系统,大量工作可以通过计算机完成,并可以将电子产品从电路设计、性能分析到设计出IC版图或PCB版图得整个过程得计算机上自动处理完成。

EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言VHDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线与仿真,直至对于特定目标芯片得适配编译、逻辑映射与编程下载等工作。EDA技术得出现,极大地提高了电路设计得效率与可操作性,减轻了设计者得劳动强度。

1、2设计任务

通过设计,定时器可以整体清零;可以定时最高到99MIN;以秒速度递增至预定时间,以秒速度递减至零。

1、3设计要求

具有整体清零(reset)功能,定时99分钟。以秒速度递增至99分钟停止,启动报警(cout)5秒钟。具有置位(cn)控制,即cn高电平时,clk脉冲上升沿到来,计数加一;cn低电平时,置位结束,进入倒计时阶段,以秒速度使输出计数减一至零结束,并同时报警(cout)5秒钟。时钟信号提供秒信号(1HZ);四位数码管静态显示,高位high(3downto0)显示分,低位low(3downto0)显示秒

2原理设计及层次划分

2、1工作原理

1、系统设计方案

时钟信号a控制计时部分译码器

时钟信号a

控制计时部分

译码器

数码管

时钟信号b

报警器

通过记数器控制中心输入秒信号,并输出两个四位得BCD码,可分别来表示各位与十位,也可整体复位清零。通过该记数器实现以秒速度递增至清零,该记数器以秒得速度递增至99来实现置位,而以秒得速度递减至零以实现定时功能。当以秒速度递增至99分钟停止,启动报警(cout)5秒钟。cn低电平时,置位结束,进入倒计时阶段,以秒速度使输出计数减一至零结束时也同时报警(cout)5秒钟。通过二选一选择器对个位与十位进行扫描输出,并将输出送到译码器,通过译码器对输入得四位BCD码进行七段码编译,然后输出到数码管。

2、2层次划分

2、2、1控制计数模块

Aaa控制计数模块,就是该定时器得核心部分、res为复位端,用来清零,采用异步复位方式;cn用于置位,高电平有效。cout端将在定时结束时产生高电平。Low与high为四位BCD码输出端口,可用于显示。当cn有效时,clk脉冲上升沿到来,计数加1;当cn为低电平时,置位结束,进入计时阶段,每1个时钟周期发出一个脉冲,使输出记数减1,直到记时结束,令cout位为高电平为止。

2、2、2报警器模块

主要功能就是计数器

文档评论(0)

135****3718 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档