《第一届自贸港技能大赛—家庭服务行业职业技能竞赛(育婴员项目技术文件)》.pdf

《第一届自贸港技能大赛—家庭服务行业职业技能竞赛(育婴员项目技术文件)》.pdf

  1. 1、本文档共15页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多

2021年海南省职业技能大赛暨海南省自贸港

第一届技能大赛--家庭服务行业职业技能竞赛

育婴员项目

第一届自贸港技能大赛—

家庭服务行业职业技能竞赛组委会

2021年10月

为了深入贯彻落实习近平总书记“4·13”讲话和中央12号文件精神,

贯彻落实习近平总书记对技能人才工作系列重要指示精神和致第一届全

国技能大赛贺信精神,以“新时代、新技能、新梦想”为主题,围绕推动

海南经济高质量发展,坚持创新引领、公平公正、高效节俭、绿色安全理

念,大力选拔培养高技能人才,努力营造劳动光荣、知识崇高、人才宝贵、

创造伟大的浓厚氛围,培育家庭服务行业人员的工匠精神,以家庭服务行

业职业技能竞赛为契机,规范家庭服务行业从业人员操作技能,逐步提升

海南省家庭服务行业人员就业技能,培养适应海南自由贸易港建设需要的

高素质家庭服务行业能工巧匠,推进海南省家庭服务行业规范化、职业化

发展。

一、竞赛项目技术描述

(一)项目概要

本竞赛以《育婴员国家职业技能标准》为标准,通过竞赛在技能方面

展示参赛选手面对0~3岁婴幼儿时独立进行生活照料、健康与管理、教育

实施等方面的综合技巧,指导与培训展示其指导家长和家庭科学育儿沟通

综合能力,最终通过大赛有效提升参赛选手在育婴方面的专业技能、实际

生活操作中科学育人的能力,并引导整个社会良好的育婴氛围。

(二)竞赛标准

参照国家职业技能标准(育婴员高级工)应具备的理论知识和实际操

作技能要求为基础,结合当前家庭服务业职业发展的需求,适当增加新知

识、新技术(新设备)、新技能及职业道德等相关内容。

二、参赛选手要求

1

参赛选手应具备对婴幼儿的生活照料、教育实施、保健与护理、健康

与管理及指导与培训等岗位能力要求,同时应具备良好的心理,较强的责

任心,较好的语言沟通和表达能力等。

三、竞赛时间、方式及规模

(一)竞赛时间:2021年10月25-26日。

(二)竞赛分为初赛和决赛两个阶段,初赛方式为理论知识考试,采

用闭卷答题的方式进行,前50名且成绩在60分及以上者晋级决赛,决赛

采用现场实操方式进行;本次竞赛只取个人成绩和名次。

(三)比赛规模:原则上每个市县推荐2名选手参加决赛(可根据

实际报名情况作出适当调整),决赛规模(上限)不超过50人

四、竞赛内容

(一)初赛(理论竞赛)

初赛以“育婴员”国家职业资格三级(高级工)标准命题,题型为单

选题、多选题和判断题。单选题共60题,每题1分;多选题共20道,每

题1分;判断题共20题,每题1分;考试时间为90分钟,满分100分。

(二)决赛(实操竞赛)

主要考核参赛选手的基本素质、服务礼仪、卫生知识和操作技能,在

竞赛中体现规范性、熟练性、实用性和创新性。

选手按赛场提供的任务书,完成三个模块的实际操作,满分为100

分。其中:模块一占总分40%,模块二占总分30%,模块三占总分30%(比

赛模块:进入决赛的选手,组委会将在赛前,对选手做竞赛技术规则的解

说,决赛前一天由裁判组确定考题)。

(三)竞赛实操赛题及要求

竞赛试题1:新生儿沐浴(盆浴)(竞赛时间:10分钟)

比赛要求:参赛者为新生儿宝宝沐浴(盆浴)

2

竞赛试题2:烫伤婴幼儿的初步急救(竞赛时间:8分钟)

比赛要求:参赛者照顾宝宝时,宝宝发生烫伤意外后,参赛者准确作

出的判断,给以宝宝初步急救护理

竞赛试题3:消毒患病婴幼儿的呕吐物、排泄物(竞赛时间:8分钟)

竞赛要求:参赛者在规定时间内对消毒液进行正确配制,并对

您可能关注的文档

文档评论(0)

1243595614 + 关注
实名认证
内容提供者

文档有任何问题,请私信留言,会第一时间解决。

版权声明书
用户编号:7043023136000000

1亿VIP精品文档

相关文档