TLC5510接口电路及程序设计课件.pptVIP

  1. 1、本文档共11页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多

TLC5510介面電路及程式設計TLC5510與FPGA介面電路TLC5510是CMOS、8位、20MSPS模擬/數字轉換器(ADC),它利用了半閃速結構。TLC5510用單5V電源工作,消耗功率100mW(典型值),具有內部採樣和保持電路,具有高阻抗方式的並行口以及內部基準電阻(內部基準電阻使用VDDA可以產生標準的2V滿度轉換範圍)。與閃速轉換器(flashconverters)相比,半閃速結構減少了功率損耗和晶片尺寸。通過在2步過程(2-stepprocess)中實現轉換,可大大減少比較器的數目。轉換數據的等待時間為2.5個時鐘。FPGA與TLC5510的介面電路圖TLC5510與FPGA介面電路圖的注釋FPGA_IO1提供TLC5510工作時鐘。FPGA_IO2~9接收TLC5510的採樣數據。FPGA_IO10為TLC5510提供輸出使能信號OE,低電平有效。元件參數C1~C70.01μFC8~C1447μFFB1、FB2、FB3鐵氧體磁環左表為TLC5510與FPGA介面應用電路元器件。TLC5510VHDL採樣控制程式設計TLC5510時序TLC5510是以流水線的工作方式進行工作的。它在每一個CLK(時鐘)週期都啟動一次採樣,完成一次採樣;每次啟動採樣是在CLK的下降沿進行,不過採樣轉換結果的輸出卻在2.5CLK週期後,如果計算上輸出延時td(D),從採樣到輸出需經2.5*CLK+td(D)。對於需要設計的採樣控制器,可以認為,每加一個採樣CLK週期,A/D就輸出一個採樣數據。可以通過對FPGA系統時鐘進行分頻得到一個與TLC5510的工作週期相一致的CLK1送入TLC5510,就可以對TLC5510實現控制TLC5510時序圖TLC5510VHDL採樣控制程式TLC5510採樣控制程式電路符號TLC5510VHDL採樣控制程式libraryieee;useieee.std_logic_1164.all;entitytlc5510isport(clk:instd_logic;--系統時鐘oe:outstd_logic;--TLC5510的輸出使能/OEclk1:outstd_logic;--TLC5510的轉換時鐘din:instd_logic_vector(7downto0);--來自TLC5510的採樣數據dout:outstd_logic_vector(7downto0));--FPGA數據輸出endtlc5510;architecturebehavoftlc5510issignalq:integerrange3downto0;begin接下頁

文档评论(0)

爱遛弯的张先生 + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档