NEW计算机组成结构实验指导一二三.docx

NEW计算机组成结构实验指导一二三.docx

  1. 1、本文档共20页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多

计算机组成结构

实验教学指导

南昌航大信息工程学院

2009-9-1

PAGE

PAGE3

实验一:EDA入门

实验目的:

了解并初步掌握使用QuartusⅡ软件集成开发工具进行专用硬件电路设计的工作过程;了解并初步掌握使用硬件描述语言(VHDL)表述电路功能设计;

了解并初步掌握使用时序仿真方法验证电路逻辑功能;

了解并初步掌握使用GW48实验台对设计电路进行硬件下载配置和测试。

实验任务:

首先利用QuartusⅡ完成“2选1多路选择器”电路的VHDL语言表达描述;

设计时序仿真测试激励波形信号并进行电路功能的仿真测试;

完成“2选1多路选择器”电路的硬件下载编程;

设计硬件测试方案,配置试验台测试环境,进行硬件测试;

实验完成,写出实验报告。

实验指导:

实验原理及方案

本实验通过QuartusII软件,编程设计硬件电路功能,在一片FPGA(型号EP1C6Q240C8)可编程芯片上,制作成一块具有“二选一多路选择器”功能的专用电路器件。

测试验证设计电路功能是在GW48实验系统上进行,该实验系统通过改变连线和软件配置可支持多种电路试验。本实验选用电路模式NO.5。

“二选一多路选择器”制作成功后,选择2种可听得见的频率接至电路的两个输入端,电路的输出端接扬声器,作为选择的第3个输入端连接到一个乒乓按键。通过揿动按键,改变输入选择端的电平,选择通过不同的频率信号到输出,从而,可以听到不同音调的声音。

设计目标实体

“2选1多路选择器”电路原理图及逻辑表达式如下:

图3.1“2选1多路选择器”原理图和逻辑表达

图3.1“2选1多路选择器”原理图和逻辑表达

该电路可用硬件描述语言VHDL表达如下:

ENTITYmux21aIS --说明电路实体具有3个输入端口,

PORT(a,b,s:INBIT; --分别称为a,b,s,输入二进制位;y:OUTBIT); --一个输出二进制位的端口,命名y。

ENDENTITYmux21a;

ARCHITECTUREoneOFmux21aIS --说明该电路实体的功能结构行为:

BEGIN

PROCESS(a,b,s) --对于3个输入位,判断s端口的值,BEGIN --如果是0,则y端口输出值等于

IFs =‘0’THEN y= a; --a端口的输入,ELSE y=b; --否则,等于b端口的输入

ENDIF;ENDPROCESS;

ENDARCHITECTUREone;

实验步骤

第一阶段:建立工程,输入设计文件

建立实验项目工作文件夹。如:E:\MUX21.

打开QuartusII软件。点击File|New,新建设计文件(如图3.2所示)。

在弹出新建对话窗口(如图3.3所示),选DeviceDesignFiles选项卡,在所列文件类型中选择VHDLFile,点击OK按钮。

输入目标电路设计的

VHDL程序。

(参考前面关于“2选1多路选择器”电路实体的VHDL程序描述代码)。

)通过依次选择菜单File|Saveas保存程序文件,注意保存文件名与实体名必须一致。

保存文件时,若弹出对话框询问“Doyouwanttocreateanewprojectwiththisfile?”时,选择“是”。

新建工程,并将此设计文件加入工程。操作如下:

① 自动或者由菜单File|NewProject|Wizart进入新工程创建向导(如图3.4)。输入文件名,选择“next”;

② 选择设计文件加入工程(图3.5)。按“AddALL”,按“next”;

③ 选择目标芯片与设置。选择本实验采用的芯片,系列是Cyclone,型号为EP1C6Q240C8。速度等级是8。(如图3.6所示)。

4④ 点击完成。

4

PAGE

PAGE10

第二阶段:编译

通过点击Processing下拉菜单,选StartCompilation菜单项启动编译。如图3.7所示。第三阶段:时序仿真

进行功能仿真前,先设计时序激励波形文件。打开File|New,在弹出窗口点击“OtherFiles”,从选项中选择“VectorWaveformFile”。如图3.8所示。

选择端节点,在空白处双击鼠标左键,跳出InsertNodeorBus对话框。选择NodeFinder。如图3.9所示。

在新弹出的NodeFinder对话框中点击List按钮,在左框显示找到的接点中,选择需要的信号

文档评论(0)

tianya189 + 关注
官方认证
内容提供者

该用户很懒,什么也没介绍

认证主体阳新县融易互联网技术工作室
IP属地上海
统一社会信用代码/组织机构代码
92420222MA4ELHM75D

1亿VIP精品文档

相关文档