Basys3实验指导手册.pdf

  1. 1、本文档共7页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多

Basys3实验指导手册

1.引言

Basys3是一款基于XilinxArtix-7FPGA的开辟板,它提供了丰富的硬件资源

和接口,适合于学习和开辟数字电路和嵌入式系统。本实验指导手册旨在匡助初学

者快速上手Basys3开辟板,并通过一系列实验匡助他们熟悉FPGA开辟流程和基

本的数字电路设计。

2.实验准备

2.1Basys3开辟板

2.2Vivado设计套件

2.3计算机

2.4USBAtomicro-B线缆

3.实验一:LED控制

3.1实验目的

通过编写Verilog代码,控制Basys3开辟板上的LED灯实现不同的闪烁模

式。

3.2实验步骤

3.2.1创建新工程

3.2.2添加约束文件

3.2.3编写Verilog代码

3.2.4综合、实现和生成比特流文件

3.2.5下载比特流文件到Basys3开辟板

3.3实验结果

实验结果应包括不同频率和模式下的LED闪烁效果。

4.实验二:七段数码管显示

4.1实验目的

通过编写Verilog代码,控制Basys3开辟板上的七段数码管显示不同的数

字。

4.2实验步骤

4.2.1创建新工程

4.2.2添加约束文件

4.2.3编写Verilog代码

4.2.4综合、实现和生成比特流文件

4.2.5下载比特流文件到Basys3开辟板

4.3实验结果

实验结果应包括数码管显示不同数字的效果。

5.实验三:按键输入和输出

5.1实验目的

通过编写Verilog代码,实现Basys3开辟板上按键输入和LED输出的控制。

5.2实验步骤

5.2.1创建新工程

5.2.2添加约束文件

5.2.3编写Verilog代码

5.2.4综合、实现和生成比特流文件

5.2.5下载比特流文件到Basys3开辟板

5.3实验结果

实验结果应包括按下不同按键时,LED灯的亮灭效果。

6.实验四:PWM信号发生器

6.1实验目的

通过编写Verilog代码,实现Basys3开辟板上的PWM信号发生器。

6.2实验步骤

6.2.1创建新工程

6.2.2添加约束文件

6.2.3编写Verilog代码

6.2.4综合、实现和生成比特流文件

6.2.5下载比特流文件到Basys3开辟板

6.3实验结果

实验结果应包括不同占空比和频率下PWM信号的输出效果。

7.实验五:外部中断

7.1实验目的

通过编写Verilog代码,实现Basys3开辟板上的外部中断控制。

7.2实验步骤

7.2.1创建新工程

7.2.2添加约束文件

7.2.3编写Verilog代码

7.2.4综合、实现和生成比特流文件

7.2.5下载比特流文件到Basys3开辟板

7.3实验结果

实验结果应包括按下外部按钮时,相应的中断处理效果。

8.实验六:串口通信

8.1实验目的

通过编写Verilog代码,实现Basys3开辟板与计算机之间的串口通信。

8.2实验步骤

8.2.1创建新工程

8.2.2添加约束文件

8.2.3编写Verilog代码

8.2.4综合、实现和生成比特流文件

8.2.5下载比特流文件到Basys3开辟板

8.3实验结果

实验结果应包括计算机发送数据到Basys3开辟板并在数码管上显示的效果。

9.实验七

文档评论(0)

各类考试卷精编 + 关注
官方认证
内容提供者

各类考试卷、真题卷

认证主体社旗县兴中文具店(个体工商户)
IP属地河南
统一社会信用代码/组织机构代码
92411327MAD627N96D

1亿VIP精品文档

相关文档