第05章--选择结构程序设计.ppt

  1. 1、本文档共36页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多

第5章选择结构程序设计*重点与难点5.1关系运算符和关系表达式5.2逻辑运算和逻辑表达式5.3if语句5.4switch语句5.5程序举例*本章小结*作业重点与难点重点:条件表达式的构成;选择结构。难点:if语句的嵌套;switch语句。5.1关系运算符和关系表达式1.关系运算符2.关系表达式3.关系运算符的优先级4.关系运算符的结合方向1.关系运算符2.关系表达式1)3=58!=6a==cabmn2)关系表达式常用来表示条件成绩(x)小于60分,x603)关系表达式的值真:1假:03.关系运算符的优先级分以下几种情况:1)前4种关系运算符(、=、、=)的优先级别相同,后两种(==、!=)也相同。2)关系运算符的优先级低于算术运算符。3)关系运算符的优先级高于赋值运算符。举例a==bcab+cf=ab+c4.关系运算符的结合方向自左向右5.2逻辑运算符和逻辑表达式1.逻辑运算符2.逻辑表达式3.优先级和结合方向4.如何表示复杂的条件?5.短路原则6.判断一个量的“真”与“假”1.逻辑运算符2.逻辑表达式举例y%4==0y%100!=0||y%400==0a+bca+cbb+ca!(ab)表达式的值真:1假:03.逻辑运算优先级和结合方向优先级!(非)算术运算符关系运算符(与)和||(或)赋值运算符结合方向自左向右4.如何表示复杂的条件?1)判断年份y是否为闰年y%4==0y%100!=0||y%400==02)判断三条边是否构成三角形a+bca+cbb+ca3)总分(zf)不小于600且综合大于660zf=600zf6605.短路原则逻辑表达式求解的原则(短路原则):并不是所有的逻辑运算符都会被执行,只是在必须执行下一个逻辑运算符才能求出表达式的值时,才执行该运算符。abca||b||c举例已知m,n分别为1,执行下面表达式后,m,n的值各为多少?(m=35)(n=4)(m=35)||(n=4)6.判断一个量的“真”与“假”在判断一个运算量的“真”与“假”的时候:①“真”用非0表示。②“假”用0表示。0.3-0.534!15.3if语句5.3.1if语句的三种形式5.3.2if语句嵌套5.3.3条件运算符5.3.1if语句的三种形式1、if(表达式)语句2、if(表达式)语句1else语句2if()~举例if(ab){t=a;a=b;b=t;}整型数交换(不用中间量)a=9;b=5;a=a+b;(a=9+5=14)b=a-b;(b=14-5=9)a=a-b;(a=14-9=5)if()~else~举例if(total=1000)sfje=total*0.85;elsesfje=total*0.95;if()~elseif()~else~举例if(score60)printf(E);elseif(score70)printf(D);elseif(score80)printf(C);elseif(score90)printf(B);elseif(score=100)printf(A);elseprintf(error);5.3.2if语句嵌套if(ch=Ach=Z||ch=ach=z)if(ch=Ach=Z)printf(upper);elseprintf(lower);elseif(ch=0ch=9)printf(digital);elseprintf(other);if与else数目不相等else与if配对原则5.3.3条件运算符一般形式:表达式1?表达式2:表达式3条件运算符是唯一的3目运算符max=(xy)?x:y优先级和结合方向仅比赋值运算、逗号运算的优先级高;“自右向左”举例ab?a:cd?c:d=ab?a:(cd?c:d)xy?1:1.5表达式2、表达式3的类型不同,结果是什么类型?为两个表达式中较高的类型(本例为:double型)5.4switch语句switc

文档评论(0)

177****7891 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档