FPGA-测试频率实验报告.docVIP

  1. 1、本文档共15页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多

FPGA-测试频率实验报告

实验目的:

设计4位十进制频率计,学习较复杂的数字系统设计方法。

实验原理:

根据频率的定义和频率测量的基本原理,测定信号的频率必须有一个脉宽为1秒的对输入信号脉冲计数允许的信号;1秒计数结束后,计数值锁入锁存器的锁存信号和为下一测频计数周期作准备的计数器清0信号。这清0个信号可以由一个测频控制信号发生器产生,即图5-1中的TESTCTL,它的设计要求是,TESTCTL的计数使能信号CNT_EN能产生一个1秒脉宽的周期信号,并对频率计的每一计数器CNT10的EN使能端进行同步控制。当CNT_EN高电平时,允许计数;低电平时停止计数,并保持其所计的脉冲数。在停止计数期间,首先需要一个锁存信号LOAD的上跳沿将计数器在前1秒钟的计数值锁存进各锁存器REG4B中,并由外部的7段译码器译出,显示计数值。设置锁存器的好处是,显示的数据稳定,不会由于周期性的清零信号而不断闪烁。锁存信号之后,必须有一清零信号RST_CNT对计数器进行清零,为下1秒钟的计数操作作准备。

实验内容:

1、根据例5-1(10进制计数器,用于计算分频结果,并连接数码管显示)、例5-2(测频控制器,使得频率计能自动测频)、例5-3(锁存器,将频率计的每位数锁存后输出)以及4位十进制频率计的工作原理写出频率计的顶层文件(或者原理图),并给出其测频时序波形,及其分析。在测试时候添加以下两个模块:

(1)DE2上有两个时钟源,分别是(50MHZ,PIN_N2),(27MHz,PIN_D13),编写一个分频模块,分别产生测频器的clk(8Hz)和f_in(频率任意定)时钟,用于连接在DE2上进行测试。

(2)编写数码显示模块led7s,用于显示频率计数的结果显示。

2、频率计设计硬件验证。编译、综合和适配频率计顶层设计文件,并编程下载进入目标器件中。

实验步骤:

1.构建一个工程名是我的学号f_test。

2、输入cnt10d的Verilog文本,将设计的Verilog程序输入,并存盘名为cnt10d.v,将波形文件存盘为cnt10d.vwf。

modulecnt10d(clk,rst,en,cq,cout);

inputclk;

inputrst;

inputen;

output[3:0]cq;

outputcout;

reg[3:0]cq;

regcout;

always@(posedgeclkorposedgerst)begin

if(rst)cq=4b0000;

else

if(en)begin

if(cq9)begincq=cq+1b1;cout=1b0;end

elsebegincq=4b0000;cout=1b1;end

end

end

endmodule

3.设定cnt10d.v是目前的顶层文件由Processing-start-startannlysiselaboration对程序进行初步的分析

4、对仿真工具设定为功能仿真,并将激励文件调入,提取功能仿真的网表。

5、进行功能仿真和时序仿真,并对结果进行分析。

功能仿真:

时序仿真:

6、仿照工程cnt10d的设计方法,再分别设计testctl、reg4、div27、decl7s和div8模块,并分别对testctl、decl7s、reg4进行功能仿真和时序仿真,对仿真结果进行分析。(程序及仿真见附录)

7、频率计设计硬件验证。编译、综合和适配频率计顶层设计文件,并编程下载进入目标器件中。

8、用原理图方式将各模块连接起来。

9、锁定引脚(附四)并进行全编译。

10、如果编译没有错误,准备下载

5. 实验结果:

连接下载后,数码管显示5885,与预期结果相同。

附录:

测频控制器,使得频率计能自动测频

moduletestctl(clkk,cnt_en,rst_cnt,load);

inputclkk;//1HZ

outputcnt_en;

outputrst_cnt;

outputload;

regdiv2clk;

wirecnt_en;

regrst_cnt;

wireload;

always@(posedgeclkk)

div2clk=~div2clk;

always@(clkkordiv2clk)begin

if(!clkk!div2clk) rst_cnt=1b1;

else r

文档评论(0)

175****4659 + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档