EDA课设八位硬件乘法器.docxVIP

  1. 1、本文档共12页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多

EDA课设八位硬件乘法器

(2)乘法器中各模块

(电路的总体框图)

说明:此电路由五部分组成它们,分别是控制器,锁存器,寄存器,乘法器,加法器。

1控制器是一个乘法器的控制模块,用来接受实验系统上的连续脉冲。

2锁存器起锁存的作用,它可以锁存8位乘数。

3移位寄存器起移位的作用,便于被乘数可以逐位移出。

4乘法器功能类似一个特殊的与非门。

5加法器用于8位乘数和高8位相加。

三、选择器件与功能模块

选择器件:

SREG8B(移位寄存器)

REG16B(16位琐存器)

ARICTL(运算控制器)

ANDARITH(1位乘法器)

ADDER8B(8位加法器)

数码管(显示结果)

功能模块:

1.8位右移寄存器SREG8B

LIBRARYIEEE;

USEIEEE.STD_LOGIC_1164.ALL;

ENTITYSREG8IS

PORT(CLK:INSTD_LOGIC;LOAD:INSTD_LOGIC;

DIN:INSTD_LOGIC_VECTOR(7DOWNTO0);

EN:INSTD_LOGIC;

QB:OUTSTD_LOGIC);

ENDSREG8;

ARCHITECTUREbehaveOFSREG8IS

SIGNALREG8:STD_LOGIC_VECTOR(7DOWNTO0);

BEGIN

PROCESS(CLK,LOAD,EN)

BEGIN

IFEN=1THEN

IFLOAD=1THENREG8=DIN;

ELSIFCLKEVENTANDCLK=1THEN

REG8(6DOWNTO0)=REG8(7DOWNTO1);

ENDIF;

ENDIF;

ENDPROCESS;

QB=REG8(0);

ENDbehave;

时序仿真图:

2.8为加法器ADDER8B

LIBRARYIEEE;

USEIEEE.STD_LOGIC_1164.ALL;

USEIEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITYadder8IS

PORT(B,A:INSTD_LOGIC_VECTOR(7DOWNTO0);

S:OUTSTD_LOGIC_VECTOR(8DOWNTO0)

);

ENDadder8;

ARCHITECTUREbehaveOFadder8IS

BEGIN

S=0A+B;

ENDbehave;

时序仿真图:

3.选通与门模块:ANDARITH

LIBRARYIEEE;

USEIEEE.STD_LOGIC_1164.ALL;

ENTITYandarithIS

PORT(ABIN:INSTD_LOGIC;

DIN:INSTD_LOGIC_VECTOR(7DOWNTO0);

DOUT:OUTSTD_LOGIC_VECTOR(7DOWNTO0));

ENDandarith;

ARCHITECTUREbehaveOFandarithIS

BEGIN

PROCESS(ABIN,DIN)

BEGIN

FORIIN0TO7LOOP

DOUT(I)=DIN(I)ANDABIN;

ENDLOOP;

ENDPROCESS;

ENDbehave;

时序仿真图:

4.16为锁存寄存器REG16

LIBRARYIEEE;

USEIEEE.STD_LOGIC_1164.ALL;

ENTITYREG16IS

PORT(CLK,CLR,EN:INSTD_LOGIC;

D:INSTD_LOGIC_VECTOR(8DOWNTO0);

Q:OUTSTD_LOGIC_VECTOR(15DOWNTO0)

);

ENDREG16;

ARCHITEC

文档评论(0)

158****1866 + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档