逻辑综合synthesis分析和总结.docx

  1. 1、本文档共10页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多

哈理工大学软件学院集成系

哈理工大学软件学院集成系

共享文档,严禁翻版2012.7.3

共享文档,严禁翻版

2012.7.3

综合复习资料(综合测试版)一、名词解释

1、Synthesis:synthesisisthetransformationofanideaintoamanufacturabledevicetocarryoutanintendedfunction.

2、SOLD(SynopsysOn-LineDocumentation):Itisawebsitetoprovideanswers.

3、STA(StaticTimingAnalysis):Amethodfordeterminingofacircuitmeetstimingconstraintswithouthavingtosimulateclockcycles.

4、Clockskew:Toaccountforvaryingdelaysbetweentheclocknetworkbranches.5、Jitter:Becausesomeuncertainfactors,whichleadstotheclockhappendrift.

6、RTL(RegisterTransferLevel):Itisacodingstylemeansdescribingtheregisterarchitecture,thecircuittopology,andthefunctionalitybetweenregisters.

7、TCL(ToolCommand Language):Itis an “open”, industry-standardlanguage,developedatUCABerkeley.

8、PVT: STAscales each cell and net delay based on Process, Voltage,and

Temperaturevariations.

9、CTS(ClockTreeSynthesis):Bufferclocktimingdeviceintherightplace,andavoidtheCLOCKtoSKEW.

10、BDD(BinaryDecisionDiagram):ThebinarydecisiondiagramisusedtorepresentthedatastructureoftheBooleanfunctions.

二、填空

1、Designobjects:Design、Cell、Reference、Port、Pin、Net、Clock

2、Theadvantagesofsynthesis: reusability、verifiable、portability、prestige、productivity、abstraction、designtricks

3、SynthesisisConstraint-Driven,isPath-Based.

4、Synthesis=translation+optimization+mapping5、GTECHhasnothingtodowithtechnology.

三、简答

1、Cell-BaBehavioralLevel

答:1.Behaviorallevel2.RTLLevel3.LogicSynthesis4.LogicLevelDesign5.CircuitLevelDesign6.LayoutLevelDesign7.PostVerificationsed-Flow

2、LogicSynthesisOverview

答:1.RTLDesign2.HDLCompiler3.DesignCompiler4.OptimizedGate-levelNetlist

3、What.synopsys_dc.setupdefined

答:link_librarytarget_librarysymbol_library

search_pathsynthetic_library

4、whatis.synopsys_dc.setup?

答:启动文件(startup files)DC : .synopsys_dc.setup

Definedprocesspathtothelibraryandthe

文档评论(0)

hao187 + 关注
官方认证
内容提供者

该用户很懒,什么也没介绍

认证主体武汉豪锦宏商务信息咨询服务有限公司
IP属地上海
统一社会信用代码/组织机构代码
91420100MA4F3KHG8Q

1亿VIP精品文档

相关文档