彩灯控制电路的设计【毕业设计论文】.pdfVIP

彩灯控制电路的设计【毕业设计论文】.pdf

  1. 1、本文档共21页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多

浙江**学院

毕业设计(论文)

题目:彩灯控制电路的设计

姓名:

系别、专业:

导师姓名、职称:

完成时间:

目录

第1章概述3

1.1课题的背景与意义3

1.2总体设计方案4

第2章硬件电路设计5

2.1单机片最小系统5

2.2按键控制电路6

2.3中断电路6

2.4LED显示电路7

2.5单机片的介绍8

第3章软件设计11

3.1软件设计思路10

3.2软件设计流程10

参考文献10

附录A课题设计电路原理图11

附录B课程设计系统程序12

致谢19

彩灯控制电路设计

1

吴杰

摘要本文介绍了一种由16个LED发光二极管组成多功能发光形式的简易彩灯控制系统

的软硬件设计过程,方案以AT89C51单片机作为主控核心,且以复位电路、时钟电路、按键电路、

中断电路以及彩灯显示电路组成,利用软件编程烧录程序到单片机来实现对LED彩灯进行多样控制。

该控制电路能完成多种闪灯模式以及随意变换想要的闪烁方式和控制彩灯的闪烁频率等功能。该系

统具有电路结构简单、易操作、硬件少、体积少、成本低、能耗低等优点,有一定的实用价值。

关键词:8051单片机LED彩灯控制系统闪烁方式

第一章概述

2

1.1课题的背景与意义

现代化生活当中彩灯控制电路的应用越来越受到人们的喜欢,因为其不仅能美化环境,渲染气

氛,还可以用于娱乐场所和电子玩具、广告牌当中等,因此我们选择了4种不同方式控制点亮16个

LED发光二极管的彩灯控制电路设计作为毕业课题,利用本人在大学期间所学的模拟电子技术、通

讯电路、语言程序设计等一些课程的知识进行了这次毕业课题设计与制作,这次设计能在很大程度

上提高了我们个人的学以致用能力和实际独立操作能力,不论是对知识的运用还是对论文的撰写方

面都有了很大的提高,同时也为了我们以后踏上社途工作发展打下了良好的基础。

彩灯控制电路的设计,其电路设计品种多样,工作电压范围宽,功耗低,外围元件少,因此适

用于现实生活中多种电子产品的开发设计与制作。此课题设计的作品不能为了只追求“跳跃”而给

人凌乱的感觉,不管采用何种色彩、何种图案,都应该聚而不散,且有顺序的渐变和跳跃,给观众

一种主次感、秩序感,也方便于群众顺着有规律的节奏接着看第二遍、第三遍。在设计制作时,既

要给人带来变换的吸引力,又要显得主次分明,没有色彩和图案凌乱的感觉。变换、闪烁、跳跃式

的彩灯为市场促进了销售,为生活营造了多姿多彩的气氛,因此,越来越受到人们的肯定、重视、

欢迎。

1.2总体设计方案

3

图1-2系统总体设计框图

设计思路:本方案这要是通过对基于单片机的多控制、多闪烁方式的LED彩灯循环系统的设计,来

达到本设计的要求。本课题设计的总体框图如下图1-2所示

系统设计如下:

此设计方案中单片机的P1口接4路按键控制电路,实现彩灯闪烁方式的切换功能;单片机的

P3.3引脚接上一个按键开关以实现对彩灯中断的控制;单片机上的P0和P2口接16路LED发光二

极管组成彩灯显示电路,实现多种形式的闪烁功能。

第二章硬件电路设计

4

2.1单机片最小系统

要使单片机工作起来,最基本的电路构成由单片机、

您可能关注的文档

文档评论(0)

132****6651 + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档