EDA电子密码锁_原创精品文档.pdf

  1. 1、本文档共20页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多

EDA电子密码锁

电子密码锁

设计要求

1)设计一个密码锁的控制电路,当输入正确代码时,输出开锁信号以推动执行

机构工作,用红灯亮、绿灯熄灭表示关锁,用绿灯亮、红灯熄灭表示开锁;

2)在锁的控制电路中储存一个可以修改的4位代码,当开锁按钮开关(可设置

成6位至8位,其中实际有效为4位,其余为虚设)的输入代码等于储存代码

时,开锁;

3)从第一个按钮触动后的5秒内若未将锁打开,则电路自动复位并进入自锁状

态,使之无法再打开,并由扬声器发出持续20秒的报警信号。

1、方案论证与对比

1.1方案一

方案一是用以AT89C2051为核心的单片机控制方案。共设了9个用户输

入键,其中只有4个是有效的密码按键,其它的都是干扰按键,若按下干扰键,

键盘输入电路自动清零,原先输入的密码无效,需要重新输入;如果用户输入

密码的时间超过40秒(一般情况下,用户不会超过40秒,若用户觉得不便,

还可以修改)电路将报警80秒,若电路连续报警三次,电路将锁定键盘5分钟,

防止他人的非法操作。设计方框图如图1所示。

密码修

键盘密码校开锁执行

报限

警时

报警锁定五

1

.2方案二

1

方案二是用本学期所学的EDA技术中的VHDL语言来实现方案。设计方

框图如图2所示。

1.3比较

由于单片机方案原理复杂,而且调试较为繁琐,并且是用C语言或者汇

编语言实现功能,构成的是软件,容易受到外界影响;而有EDA技术中VHDL

(硬件描述语言),构成的是硬件本身,不容易受到外界的干扰,所以本文采用

后一种方案。方案一是基于软件的编程语言,对硬件和软件的要求都很高,方

案二则是基于硬件设计的语言,很容易对硬件电路实现编程下载;

2.总体模块设计

本设计采用EDA技术和VHDL语言设计了一种按键输入密码并数码管回

显,当输入正确密码时轰动绿灯亮、红灯熄灭表示开锁,而当输入错误密码时,

红灯亮、绿灯熄灭表示关锁。

根据系统设计要求,系统设计采用自顶向下的设计方法。顶层设计采用原理

图设计方

式,系统的

整体组装设绿灯灭开

计原理图如

图2-1所

示。它由时红灯灭关

钟电路按键密校

控制输入模码对5S延20延20S报

块、密码锁

控制模块、图3系统整体组装设计原

数码管与LED显示模块和报警电路等四部分组成。

程序下载后系统进入原始状态(原始密码000000),按下键8发光二极管8

2

(绿灯)亮、法官二极管7(红灯)灭。当要重新设置密码时,直接输入自己想

要设置的密码并按下键8,持续5S,数码管8由0变为1,此时7段数码管1、

2、3、4、5、6对应显示新设置的密码。当要输入密码时,按下键7,先将密码

清零,再随机输入一组6位密码,假如密码正确,发光二极管8(绿灯)立即亮;

反之如不正确,等待5S,发光二极管7(红灯)亮并由蜂鸣器发出20S的报警

信号。

3.单元模块设计

3.1顶层模块设计

该电子密码锁顶层文件使用原

文档评论(0)

各类考试卷精编 + 关注
官方认证
内容提供者

各类考试卷、真题卷

认证主体社旗县兴中文具店(个体工商户)
IP属地宁夏
统一社会信用代码/组织机构代码
92411327MAD627N96D

1亿VIP精品文档

相关文档