_交通信号灯设计逻辑.ppt

  1. 1、本文档共9页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多

*******感谢聆听:等线72加粗文字阴影欢迎你:等线24不加粗校训:等线32号加粗文字阴影*务本崇实修德精业目录Content01020304湖南生物机电职业技术学院欢迎你!感谢聆听务本崇实修德精业目录Content《单片机技术应用》模块三单元一交通信号灯设计逻辑知识点1:交通信号灯设计逻辑机电工程学院交通信号灯设计逻辑 随着我国城市化建设的发展,人民的生活水平日渐提高,越来越多的汽车进入了寻常老百姓的家庭,再加上政府大力发展公交车、出租车,使得道路上车辆越来越多,许多大城市如北京、上海、南京等均出现了道路交通超负荷运行的情况。所以,如何采用合适的控制方法,越来越成为交通运输管理和城市规划部门亟待解决的主要问题。在这种情况下,道路交通信号灯开始发挥了越来越重要的作用,并已成为交管部门管理交通的重要工具之一。交通控制器的设计有采用软硬件两种方案。一般来说,采用软件的方案可通过编制程序的方法灵活满足各种用户的要求,不需要改变硬件结构,但成本相对要高一些;交通信号灯设计逻辑 而采用数字电路的硬件方案也能较好地满足要求,且各种实现的方案也很多,但硬件的方案往往随设计参数要作一定的变化,所以灵活性较差。这次毕业设计我采用数字电路的设计方案,以便更好地巩固在校所学的知识,将理论应用于实践。在以往交通控制器的方案选择中,采用移位寄存器的方案较多,本设计方案比较新颖,采用8总线收发器和可预制可逆计数器,使参数按设计需要变更更灵活,而且还设置了完整的倒计时功能设计,因而控制和显示方案具备,具有很好的实用价值。交通信号灯设计逻辑1.综述1.1设计任务设计一个十字路口交通灯信号控制器,控制车辆安全快速的通过。1.2基本要求 为了确保车辆安全快速的的通行,在十字交叉路口的每个入口处设置红,绿,黄三种信号灯,并安装时间数字时间显示,来达到下列的基本要求: 红灯表示禁止通行,绿灯表示允许通行,黄灯提醒司机把车辆停靠在禁行线以内。 东西,南北各干道交替通行,各干道放行30秒 当绿灯即将变为红灯时,黄灯开始闪烁2秒。十字路口的数字时间显示以秒为单位,使人们能够直观地把握时间。交通信号灯设计逻辑2.工作原理2.1整体方框图交通灯信号控制器的控制方案图如下:交通信号灯设计逻辑由上面的方案图可以看出各部分的作用如下: 秒脉冲发生器用来产生秒信号,置数控制和减法计数器共同构成倒计时装置,减法计数经译码显示器将实时显示时间,提供行人直观的时间概念。而状态控制器的作用是记录十字路口交通灯的工作状态,通过状态译码器分别点亮相应状态的信号灯,使某种状态持续一段时间,而减法计数器的回零脉冲使状态控制器完成状态转换,同时状态译码器根据下一个工作状态决定计数器下一个减计数器的初始赋值,从而进入下一个工作状态,如此不断循环交通信号灯设计逻辑2.2整机工作原理交通灯工作流程表如下:交通信号灯设计逻辑 由上表可以看出,此交通灯应该有30s、32s和2s三种定时信号,因此定时显示电路也应该设计三种定时情况,定时采用倒记时的方式,这里可以用二-----十进制计数器,这里我们用74LS192同步加减计数器及二进制/十进制可异步置数的CD4029可逆计数器来完成计数功能,并通过数码管显示出来。定时的起始信号由状态控制电路给出,当一种定时时间结束的信号输入到状态控制电路时,由控制电路去启动、关闭三色交通灯或启动另一种倒计时电路。 状态控制电路属于时序逻辑电路,应该按照时序逻辑电路的设计方法设计。它的输入信号来自30s、2s、32s三个定时信号。其输出信号一方面经译码后分别控制东西干道和南北干道的三个信号灯,另一方面控制定时电路的启动。湖南生物机电职业技术学院欢迎你!感谢聆听务本崇实修德精业务本崇实修德精业目录Content01020304湖南生物机电职业技术学院欢迎你!感谢聆听务本崇实修德精业目录Content*******感谢聆听:等线72加粗文字阴影欢迎你:等线24不加粗校训:等线32号加粗文字阴影*

文档评论(0)

***** + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档