EDA数字钟实验报告.pdfVIP

  1. 1、本文档共8页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多

EDA数字钟实验报告

EDA技术实验报告

专业:通信工程学号:20X班级:075102-10

姓名:包仁智指导老师:王巍

实验一3/8译码器的实现

一.实验目的

1.学习QuartusⅡ的基本操作;2.熟悉教学实验

箱的使用3.设计一个3/8译码器;

4.初步掌握VHDL语言和原理图的设计输入,编译,

仿真和调试过程;

二.实验步骤

学习QuartusⅡ软件的使用方法:1.进入Quartus

Ⅱ环境;

2.单击File菜单下的NewProjectWizard:

Introduction按照向导里面的介绍新建一

个工程并把它保存到自己的路径下面。3.单击File

菜单下的New,选择VHDLFile,后单击OK,创建一个后缀

名为.vhd的文件。此vhd文件名必须与设计实体名相同。4.

输入完成后检查并保存,编译。5.改错并重新编译;

6.建立仿真波形文件并进行仿真。单击File菜单下

的New,选择VectorWaveformFile单击OK,创建一个后

缀名为*.vwf的仿真波形文件,按照课件上的方法xx输入

波形,保存,进行仿真,验证仿真结果是否正确;7.选

择器件及分配引脚,重新编译;

8.根据引脚分配在试验箱上进行连线,使用LED进行

显示;9.程序下载,观察实验结果并记录;

三.仿真波形:

四.心得体会

通过此次课堂的亲自操作,大致了解了quartus的工作

流程和操作步骤,对数字电路中的38译码器和半加器的原

理有了新的认识,其中,全加器可以通过之前半加器的原理

图生成文件以及与门和或门结合来实现。同时对VHDL语言

的语法结构和设计方法也有了初步认识,虽然还不能独立编

写程序但是却可以看懂程序了,对这门编程语言有浓厚的兴

趣!

实验二4位计数器和BCD七段显示译码器实验

一.实验目的

1.了解和熟悉组合逻辑电路的设计方法和特点;2.

掌握LED显示器的工作原理;

3.设计一个4位计数器和BCD七段显示的译码器,并

在实验箱上面实现你的译码器。

二.实验说明

LED数码显示器是数字系统实验里面经常使用的一种显

示器件,因为它经常显示的是十进制或十六进制的数,所以

我们就要对实验里面所用到的二进制数进行译码,将它们转

换成十进制的或是十六进制的数。LED数码显示器分为共阴

和共阳两种,本实验使用的是共阴的连接,高电平有效。输

入信号为D0,D1,D2,D3,相应的输出8段为a、b、c、d、

e、f、g、Dp。输入信号D0~D4分别接4位计数器。使数码

管实现从1~F显示。

下图为译码器逻辑图,请按图进行连线。

其中A,B,C,D接拨号开关,a,b,c,d,e,f,g,

dp和使能端AN接数码显示接口,管脚映射均为I/O口,映

射后,通过拨号开关改变输入二进4/9制码,则输出数码

管上显示相应的数值。

在实验中要注意显示器上面每一段LED要和程序里面

的对应。

三仿真波形:

四.心得体会

对于我们刚熟悉QuartusⅡ的新手来说,这是一个比较

大的实现程序了。通过多VHDL语句的掌握以及真值表的理

解来控制LED的七段显示译码。先设计一个4位计数器,使

其可以从1计数到15.分别用1~F表

文档评论(0)

***** + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档