EDA课程设计汇本报告彩灯控制器.pdf

  1. 1、本文档共5页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多

《EDA技术》课程设计报告

学院:信息科学技术学院

专业:通信工程

班级:通信(2)班

姓名:肖强

学号:064

2011年06月26日

目录

摘要

一.设计目的.

二.设计题目描述及要求

三.设计原理.

3.1方案论证

3.2模块设计

3.2.1集成分频器模块

3.2.232进制计数器模块

3.2.3彩灯控制模块

3.2.44选1选择器模块

3.2.54进制计数器模块.

3.3系统结构

四.总结

参考文献

附录一.编译.10

附录二.时序仿真10

附录三.程序.

摘要

循环彩灯的电路很多,循环方式更是五花八门,而且有专门的可编程彩灯集

成电路。绝大多数的彩灯控制电路都是用数字电路来实现的,例如,用中规模集

成电路实现的彩灯控制电路主要用计数器,译码器,分配器和移位寄存器等集成。

本次设计的循环彩灯控制器就是用计数器和译码器来实现,本彩灯控制器能实现

四种不同的花型,在呈现出不同花型的同时发出四种不同的声音,数码管用以标

记当前呈现的是哪种花型。

[关键词]:计数器,二极管,数码管,分频器

一.设计目的

学习EDA开发软件和MAX+plusⅡ的使用方法,熟悉可编程逻辑器件的使

用,通过制作来了解彩灯控制系统。

本次课程设计的主要目的是通过电子设计自动化的设计,掌握FPGA应用系

统的开发过程,进一步理解FPGA应用系统的工作原理。本课程设计设计了一个

多路彩灯控制器,四种彩灯能循环变化,有清零开关,可以变化彩灯闪动频率即

可以选择不同的节拍。整个系统有三个输入信号,分别为音频输入脉冲信号clk2,

复位清零信号CLR,彩灯输入控制脉冲clk1。最后按照FPGA的开发流程和VHDL

语言建模、仿真、综合、下载、适配,用EDA6000实验箱上的FPGA系统实现了

相应的功能。

通过这次课程设计更清楚的理解了VHDL程序的描述语言,能进行简单程序

的编写和仿真。

二.设计题目描述和要求

1)设计一个彩灯控制器,使彩灯(LED管)能连续发出四种以上不同的显

示形式;

2)随着彩灯显示图案的变化,发出不同的音响声。

3)扩充其它功能。

三.设计原理

3.1方案论证

彩灯设计总体采用分模块的方法来完成,包括分频器、32进制计数器、4

进制计数器、4选1选择器、彩灯控制器五大部分。其中彩灯控制器是用来控制

8个LED,使其呈现出不同的花型,而彩灯控制器的输出则是由32进制计数器

来控制。扬声器输出不同的响声是通过加不同频率的麻涌信号来实现,不同频率

的信号送至扬声器会发出不同的声音。所以用了一个集成分频器来将输入的频率

分成几种不同的频率。不同频率的选择性输出则是由一个4选一的选择器来实

现。

在现实不同花形的同时,伴随有不同的声音发出,而且通过数码管现实1、

2、3、4,标志出所显示的是哪一种花型。数码管的显示是由彩灯控制模块来控

制。

3.2模块设计

3.2.1集成分频器模块

设计要求显示不同的彩灯的时候要伴随不同的音乐,所以设计分频器

来用不同的频率控制不同的音乐输出。

模块说明:

Rst:输入信号复位信号用来复位集成分频器的输出使输出为“0”,及没

有音乐输出,输入此信号后,彩灯从第一种花型开始顺序循环。

Clk:输入信号此信号即为分频输入的频率信号。

Clk_4、clk_6、clk_8、clk_10:输出信号即为分频模块对输入

文档评论(0)

***** + 关注
官方认证
内容提供者

该用户很懒,什么也没介绍

认证主体社旗县兴中文具店(个体工商户)
IP属地河南
统一社会信用代码/组织机构代码
92411327MAD627N96D

1亿VIP精品文档

相关文档