基于FPGA的QPSK调制解调电路设计与实现.pdfVIP

基于FPGA的QPSK调制解调电路设计与实现.pdf

  1. 1、本文档共9页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多

基于FPGA的QPSK调制解调电路设计与实现

数字调制信号又称为键控信号,调制过程可用键控的方法由基带

信号对载频信号的振幅、频率及相位进行调制,最基本的方法有3种:

正交幅度调制(QAM)、频移键控(FSK)、相移键控(PSK).根据所处理

的基带信号的进制不同分为二进制和多进制调制(M进制).多进制数

字调制与二进制相比,其频谱利用率更高.其中QPSK(即4PSK)是

MPSK(多进制相移键控)中应用最广泛的一种调制方式。

1QPSK简介

QPSK信号有00、01、10、11四种状态。所以,对输入的二进制

序列,首先必须分组,每两位码元一组。然后根据组合情况,用载波的四

种相位表征它们。QPSK信号实际上是两路正交双边带信号,可由图

1所示方法产生。

QPSK信号是两个正交的2PSK信号的合成,所以可仿照2PSK信

号的相平解调法,用两个正交的相干载波分别检测A和B两个分量,

然后还原成串行二进制数字信号,即可完成QPSK信号的解调,解调过

程如图2所示。

图1QPSK信号调制原理图

图2QPSK信号解调原理图

2QPSK调制电路的FPGA实现及仿真

2.1基于FPGA的QPSK调制电路方框图

基带信号通过串/并转换器得到2位并行信号,,四选一开关根据该

数据,选择载波对应的相位进行输出,即得到调制信号,调制框图如图3

所示。

FPGA

clk分频

start0°90°180°270°

基带信号串/并转换四选一开关调制信号

图3QPSK调制电路框图

系统顶层框图如下

图中输入信号clk为调制模块时钟,start为调制模块的使能信号,

x为基带信号,y是qpsk调制信号的输出端,carrier【3..0】为4种不

同相位的载波,其相位非别为0、90、180、270度,锁相环模块用来

进行相位调节,用来模拟通信系统中发送时钟与接收时钟的不同步

start1为解调模块的使能信号。y2为解调信号的输出端。

2.2调制电路VHDL程序

程序说明

信号yy载波相位载波波形载波符号

“00”0°f3

“01”90°f2

“10”180°f1

“11”270°f0

在quartusii下的仿真结果总体结果如下图所示

局部放大图如下

3QPSK解调电路的FPGA实现及仿真

3.1QPSK解调电路方框图

当调制为低电平时,译码器1根据记数器输出值,送入加法器相应

的数据。加法器把运算结果送到寄存器,译码器2根据寄存器数据通

过译码,输出两位并行信号,该信号再通过并/串转换即可得到解调后

的基带信号,调制框图如图4所示。

FPGA

clk计数器

start

文档评论(0)

182****6630 + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档