Kickstarter众筹:超低价Xilinx Spartan6入门开发套件.docxVIP

Kickstarter众筹:超低价Xilinx Spartan6入门开发套件.docx

  1. 1、本文档共3页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多

Kickstarter众筹:超低价XilinxSpartan6入门开发套件想学习FPGA,Verilog/VHDL?现在你的福利来了!

如果你正在寻找一款适合初学者的FPGA开发板,一款采用XilinxSpartan6芯片的开发套件Spartixed正在Kickstarter上进行众筹,现在价格仅为29美元,与一个树莓派开发板价格相当,可以帮助你学习Verilog/VHDL硬件编程语言,了解FPGA开发流程等,下面我们就来详细介绍一下这款精致的FPGA开发板。

图1SpartixedFPGA开发套件

这款SpartixedFPGA开发板卡采用XilinxSpartan6LX4-144Pin芯片,逻辑资源3840LUT,内部存储空间216Kb,DSPSlices为8个,我觉得对于初学者学习基本编程以及外设控制是足够了,可以直接采用DC12V或者5VUSB转串口接口给这块板卡供电,同时它还提供了丰富的外设资源,帮助初学者打好基础。

A

CONN

qSpartan6

LX4-144Pin

1.2Vregulator

3.3VRegulator

PL2303USBtoUART

Spartixed

BlockDiagram

图2Spartixed系统设计框架

详细外设资源列表如下:

3个数7段数码管和3个按键开关

8个滑动开关和USB转串口接口

I2CEEPR0M和SPIEEPR0M(可以学习12c和SPI总线)

1个ADC转换器和2个通用LED,以及电源指示LED和编程配置完成LED16MbFlash

当然只有块板子对于初学者还是不够的,设计者同时还提供了丰富的编程示例(见下文链接),并且正在不断更新丰富。最后介绍下众筹情况,设计者目标众筹资金35000美元,现在是653美元,才刚刚开始,还剩下45天时间,众筹分为三种类型:

捐赠任意资金,表示支持

29美元,包含Spartixed开发板一块以及丰富的开发示例

34美元,不仅包含以上内容还提供DC12V电源适配器

目前设计者正在做一些调试和优化修改,同时丰富开发Demo,并保证所有众筹

订单都会在2016年2月至3月发出。

链接:

Spartixed众筹网址:

https://www.kickstarter,com/projects/1728237598/spartixed-fpga-board-to-...

Spartixed开发Demo以源码:

文档评论(0)

艺心论文信息咨询 + 关注
官方认证
文档贡献者

该用户很懒,什么也没介绍

认证主体成都艺心风尚电子商务有限公司
IP属地四川
统一社会信用代码/组织机构代码
91510100MA6CA54M2R

1亿VIP精品文档

相关文档