BJ-EPM CPLD 开发板 —— Quartus II调用ModelSim仿真实例.pdf

BJ-EPM CPLD 开发板 —— Quartus II调用ModelSim仿真实例.pdf

  1. 1、本文档共8页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多

特权制造本教程仅用于配套BJ-EPMCPLD开发板学习交流使用

QuartusII调用ModelSim仿真实例

欢迎加入EDNFPGA/CPLD助学小组共同交流学习:/1375/

本教程仅适用于特权制造的BJ-EPMCPLD开发板,非经许可请勿转载!

版本信息

时间说明备注

参考资料:

《圣经》箴言九11“敬畏耶和华是智慧的开端,认识至圣者便是聪明。”/2522/

特权制造本教程仅用于配套BJ-EPMCPLD开发板学习交流使用

下面是基于在AlteraQuartusII下如何调用第三方工具ModelSim进行仿真的一个实

例。

①打开QuartusII,新建一个工程,工程代码如下(只是做一个简单的二分频电路):

modulemodelsim_test(

clk,rst_n,div

);

inputclk;//系统时钟

inputrst_n;//复位信号,低有效

outputdiv;//2分频信号

regdiv;

always@(posedgeclkornegedgerst_n)

if(!rst_n)div=1b0;

elsediv=~div;

endmodule

②进入菜单栏的AssignmentsEDAToolSettings,如图5.36所示。

图5.36第三方工具设置

《圣经》箴言九11“敬畏耶和华是智慧的开端,认识至圣者便是聪明。”/2522/

特权制造本教程仅用于配套BJ-EPMCPLD开发板学习交流使用

双击“EDAtools”下的“Simulation”选项,弹出如图5.37所示界面。

图5.37仿真工具设置

点击Toolname最右侧的下拉条,选择“ModelSim”,在弹出的界面如图5.38进行

设置。

《圣经》箴言九11“敬畏耶和华是智慧的开端,认识至圣者便是聪明。”/2522/

特权制造本教程仅用于配套BJ-EPMCPLD开发板学习交流使用

图5.38ModelSim工具设置

③以上设置完成,重新编译工程。打开工程目录,看到多了一个“simulation”文件

夹,再打开该文件夹下的“modelsim”文件夹。看到有三个文件,其中.vo文件就是综

合后生成的网表。仿真还需要几个文件,我们把它们依次拷贝到该目录下。

a)、打开“C:\altera\70\quartus\eda\sim_lib”(具体quartus软件安装文件的根目

录视您的实际情况而定,大体路径都一样),因为我们用的是MAXII器件,所以把

“maxii_atoms.v”拷贝到“…simulation\modelsim”文件夹下,该文件是仿真元件库。

b)、编写设计工程的Testbench。这个实例的testbench代码如下:

modulevtf_test;

//Inputs

regclk;

regrst_n;

//Output

wirediv;

modelsim_testu1(

《圣经》箴言九11“敬畏耶和华是智慧的开端,认识至圣者便是聪明。”/2522/

特权制造本教程仅用于配套BJ-EPMCPLD开发板学习交流使用

.clk(clk),

文档评论(0)

GG-水处理 + 关注
实名认证
内容提供者

资深水处理工程师,环保工程师

1亿VIP精品文档

相关文档