FPGA设计与VHDL实现- 习题及答案 王金明 ch10 VHDL的test bench仿真.docx

FPGA设计与VHDL实现- 习题及答案 王金明 ch10 VHDL的test bench仿真.docx

  1. 1、本文档共1页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
第十章 VHDL的test bench仿真 简答题 什么是仿真?仿真一般分为哪几种? 答:仿真所遵循的基本原则是相似原理,即几何相似、环境相似、性能形似。仿真可分为物理仿真、数学仿真、数学-物理仿真(混合仿真)。。 什么是测试平台?测试平台有哪几个部分组成?。 答:测试平台或称测试台,是用于验证软件设计正确 性、可靠性的一种虛拟的环境。测试平台通常包含信号输入、数据处理、验证、输出几个部分。 写出产生占空比为1/4的时钟信号的VHDL程序。 答:略。 写出VHDL02中的TEXTIO调用一个文件的VHDL语句。 答:略。 写出ModelSim仿真的五个步骤。 答:略 试着写ModelSim仿真加载设计的命令行语句。 答:略。 编写一个时钟波形产生器,产生正脉冲宽度为10ns的时钟波形。 答:略。 先编写一个模10计数器程序(含异步复位端),在编写一个测试程序,并用ModelSim软件对其进行仿真。 答:略。 编写奇偶检测电路,输入码字位宽为3,用ModelSim SE对奇偶检测电路进行仿真。 答:略。

文档评论(0)

xiaobao + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档