一种基于CPLD的数据采集控制板的设计.docxVIP

一种基于CPLD的数据采集控制板的设计.docx

  1. 1、本文档共5页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
摘要:针对多种采集信号类型,设计了一种采用CPLD实现信号采集控制、信 号处理、通讯及输出控制等功能的复合数据采集控制板,并分析了其相关应用性 能。 关键词:CLPD EPP接口编码器 数据采集 在需要采集多路模拟信号、开关信号、频率(计数)信号以及编码器信号 等的数据采集应用中,利用通用板卡构成计算机测控系统是可行的,但对于产品 的批量应用,其成本与综合性能不能令人满意。技术成熟的CPLD芯片的应用, 可以很好地将逻辑控制、数据信号处理等功能集于一身,使以往需要利用多块信 号板卡才能 完成的任务整合于同一采集控制器中,从而有效地提高控制系统的 可靠性,降低测控系统的实现成本。 本文设计一种基于CPLD的数据采集控制板。它能实现信号采集与控制、 信号处理、通讯及输出控制等功能。 1 总体设计方案 本专用数据采集控制板利用CPLD作为主控制器,统一协调通道切换与数 字信号处理、实现数据采集与接口传输逻辑控制。该数据采集控制板共有四种类 型的信号输入和一种开关信号量输出。图1给出其基本硬件模块组成。 利用CPLD的资源和结构特点是本设计的核心。为提高编码器输入信号的 分辨细长,配套设计一个四倍频电路,并在通道后端设计一个脉冲静态计数电路, 使输入信号转换为8bit信号挂接到采集板总线上。八路模拟输入信号主要依 靠CPLD实现通道切换和A/D采集,采样数据也以8bit信号并行进入总线。考 虑到 提高计数精度的要求,对两路频率输入信号设计了一个动态计数电路,使 计数值通过总线读出。I/O切换控制、EPP接口电路等都按一定的逻辑要求采用 同一 CPLD元件来实现。如此可使硬件电路十分简单,并有利于上位机编程实 现。 数据接口 根据IEEE1284标准,在标准并行口(SPP)、增强并行口(EPP)和扩 展并行口(ECP)三种模式中,EPP模式既具有双向数据传输功能,又具有较高 的数据传输能力,且编程操作相对容易,最适合在数据采集系统中使用。 从硬件设计角度考虑,EPP接口的主要功能之一是将采集到的数据上传给微型 计算机或将开关控制命令下载到采集控制板(数据流对应8bit数据端口);之 二是实现硬件接口之间的信号通讯握手(控制状态对应其它I/O端口)。EPP 协议定义了四种数据传输基本操作:数据读、数据写、地址读、地址写。工作时 首先写入I/O锁存地址即模块操作的I/O地址,然后进行相应的读 出或写入操 作。如果不需I/O地址变化,那么就不再进行I/O地址锁存操作。EPP模式下 一共定义17根信号线,除8根双向地址线和数据复用线外,还有输 出控制信 号线 WRITE、DSTROBE、ASTROBE、INIT,其中 WRITE、DSTROBE 和 ASTROBE 用于表示数据读写操作。WRITE为低时表示正在进行操作,DSTROBE为低表示 进行数据操作,而ASTROBE为低则表示进行地址操作。EPP的INIT信号用来 复位打印机,本设计用 来预置光电编码器的初始计数值。另外还有五根状态信 号线。WAIT信号由外设发出,高电平表示正在进行读写操作,低电平表示操作 完成。但本设计没有利用该 信号,因为前面三个读写信号已完全能满足要求。 MAX197数据转换结束信号EOC连接到并口的SELECT线上,主机查询到 SELECT线为低时,表示一次A/D转换结束,可以读取转换结果。其余三根状 态线空置作为备用。 根据IEEE1284标准对EPP模式的地址、数据读写操作的规定,可采用图 2的逻辑结构分别实现地址写、数据写和数据读。在图2中,输入信号中的STB 表示写信号,ASTB表示地址写信号,DSTB表示数据写信号;输出信号中Add_WR 表示地址写信号、高电平有效,Add_WRN表示地址写信号、低电平有效, Data_WR表示数据写信号、高电平有效、Data_WRN表示数据写信号、低电平 有效;Data_RD表示数据读信号。如此设计的目的是使该 接口能方便地适应各 种逻辑器件的操作要求。图3给出了采用MAXPLUS软件对上述逻辑信号进行仿 真的操作时序波形。 EPP模式可在上位机BIOS中设置或通过写ECR寄存器直接设置(并行适 配器基地址为0X378H,I/O端口地址为77AH)。 编码器信号处理 对于编码器输入信号,针对增量式光电编码器的输出具有A相、B相方波 正交信号,而且二者相序取决于编码器的正反转方向,设计了一种四倍频及判向 电路,如图4所示。 黑t等精爱费I期法原理阉 在图4中,CLK信号来自独立振荡源,其频率高于编码器最大输出频率四 倍以上,但也不宜过高,以免导致CPLD内部结构中信号竞争等问题。INA和 INB分别为编码器输入的A相信号和B相信号,CNT_UP和CNT_DN分别为输 出的正转倍频信号和反转倍频信号。为保证编码器处于任何

文档评论(0)

mooc + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档