设计一个电子时钟,要求可以显示时、分、秒,用户可以设置时间.pdfVIP

设计一个电子时钟,要求可以显示时、分、秒,用户可以设置时间.pdf

  1. 1、本文档共17页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
EDA 课程设计- 电子钟 一、设计要求 1、基本功能要求: 设计一个电子时钟,要求可以显示时、分、秒,用户可以设置时间。 扩展功能要求: 2、跑表功能,闹钟功能,调整数码管的亮度。 二、系统结构 控制键—jian5 、jian4 、jian7 、jian8 : 数码管显示段选信号输出sg: ——选择6 位数码管中的某一个显示数据; 发光二极管控制信号输出—led (7~0 ) 闹钟声音输出—speaker 通过一个10M 信号分出各种所需频率 功能介绍 运行后,选择模式7 ,8 位数码管分显示时间的时、分、秒,当前为模 式0 :时间显示模式,按键7 为模式选择键,按下按键7 ,系统进入模式1,第 二次按下为模式2,设置时间模式,第三次按下为跑表模式,第四次为闹钟设置 模式,第五次为亮度调节模式:设置时间模式,按键4 控制更改数码管的位,按 键5 控制选中数码管的数值,时间设置完成后,按键按键8,设置时间会保存住, 并在模式0 中显示;系统进入模式2 :秒表模式,按键4 为开始/结束键,按键5 为清零键;系统进入模式3:闹钟设置模式,相关设置与模式1 相同,当当前时 间与闹钟设置时间相同时,喇叭就会响;系统进入模式4:亮度调节模式,通过按 键4 设置亮度,共三种亮度;再按下按键7 ,系统又会进入模式0 。 4 、RTL 图 三、VHDL 源程序 1、library ieee; --通过 10M 分出所需频率 use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity fenpin is port ( clk_10M : in std_logic; clk_10000 : out std_logic; clk_100 : out std_logic; clk_1 : out std_logic ); end entity; architecture sub1 of fenpin is signal Q_1 : std_logic_vector(8 downto 0); signal Q_2 : std_logic_vector(6 downto 0); signal Q_3 : std_logic_vector(6 downto 0); signal clk10000 : std_logic; signal clk100 : std_logic; signal clk1 : std_logic; begin process(clk_10M) begin if clk_10Mevent and clk_10M=1 then if Q_1=500 then Q_1 = 000000000; clk10000 = not clk10000; if Q_2=100 then Q_2 = 0000000; clk100= not clk100; if Q_3=100 then Q_3 = 0000000; clk1=not clk1; else Q_3=Q_3+1; end if; else Q_2=Q_2+1; end if; else Q_1=Q_1+1; end if; end if; end process; clk_10000 = clk10000; clk_

您可能关注的文档

文档评论(0)

166****9220 + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档