数字电路-汽车尾灯.pdfVIP

  1. 1、本文档共25页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
题 目: 《汽车尾灯显示控制电路设计》 初始条件: 本设计基于数字电子技术基础实验,通过自行设计电路图并在电脑上利用 Multisim10 软件进行仿真。电路所用元件:NE555,74LS00,74LS04,74LS09,74194,发光二极管 6个,电阻电容若干。 要求完成的主要任务: 设汽车尾部左右两侧各有 3 个指示灯(用发光管模拟),要求是: 1.汽车正常行驶时,尾灯全部熄灭。 2.当汽车右转弯时,右侧 3 个指示灯按右循顺序点亮。 3.当汽车左转弯时,左侧 3 个指示灯按左循顺序点亮。 4.临时刹车时,所有指示灯同时闪烁。 时间安排: 第 20 周:理论讲解理论设计,实验室安装调试以及撰写设计报告; 地点:鉴主 15 楼通信工程实验室 指导教师签名: 年 月 日 系主任(或责任教师)签名: 年 月 日 1 / 25 目 录 摘 要 1 ABSTRACT 2 1 原理电路设计 3 1.1 电路性能要求 3 1.2 设计方案选择 3 1.2.1 时钟产生电路 3 1.2.2 循环移动电路 3 1.2.3 控制电路 5 1.2.4 逻辑指示电路 5 1.3 单元电路设计 5 1.3.1 时钟产生电路 5 1.3.2 循环电路 6 1.3.3 控制电路 7 1.3.4 逻辑电平指示电路 8 1.4 完整电路及主要工作原理 9 1.4.1 完整电路图 9 1.4.2 主要原理 9 1.5 元件参数 10 2 仿真结果分析 14 2.1 时钟脉冲产生电路仿真 14 2.2 循环电路仿真 15 2.3 总电路仿真 16 2.3.1 左右转弯尾灯循环仿真 16 2.3.2 刹车尾灯闪烁仿真 18 3 实物和仿真性能 20 4 收获、体会和建议 21 5 主要参考文献 22 6 元器件清单 23 2 / 25 摘 要 本汽车尾灯显示控制电路设计采用 555 产生方波脉冲,由 74LS 系列芯片构成的 电路实现了汽车尾灯显示控制电路, LED 灯实现显示电路。本设计的主要功能是: 汽车正常行驶时,尾灯全部熄灭;当汽车右转弯时,右侧 3个指示灯按右循顺序点亮;当 汽车左转弯时,左侧 3个指示灯按左循顺序点亮;临时刹车时,所有指示灯随时间脉冲同 时闪烁。本实验设计方案原理简单且易于实现。 1 / 25 Abstract This is a display of controlling circuit of car light design .Using a NE555 to generate square-wave pulses. The car light display control circuit is designed by the chip of 74LS series. LED lights display circuit is used as realization. The primary function of this design is: whe driving, the lights are all out; when the car turns right, the right of three lights tur o i the order of righ

文档评论(0)

185****0813 + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档