浙江工业大学—数电大型实验.docVIP

  1. 1、本文档共7页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
浙江工业大学—数电大型实验 浙江工业大学—数电大型实验 PAGE 浙江工业大学—数电大型实验 数字逻辑电路课程设计报告 姓名 ****** 学号 0416 指导教师 贾立新 专业班级 计算机+自动化 1101 学 院 计算机学院 提交日期 2013年 6 月 6 日 一、实验内容 1. 12进制计数器设计。 2. 数字频率计的设计。 二.12进制计数器设计 1.设计要求 用74LS192设计12进制加法计数器,计数值从01~12循环,用7段LED数码管显示计数值。用DEII实验板验证。 2.原理图设计 12进制加法计数器原理图如图1所示。 图1 12进制加法计数器原理图 原理说明: 12进制加法计数器由2片74LS192(十进制计数器)和2片74LS47(显示译码器)组成。 1> 清零。令RD=1,这时译码数字显示为0,清楚功能完成。 2> 加计数。RD=0,LDN=1,DN=1,UP接单次脉冲。清零后送入12个单次脉冲,译码数字显示器会从1开始变到12,接着输入,又会从12变到1重新开始。 3.操作步骤 进入Windows操作系统,打开Quartus II软件 建立工作文件夹和设计项目 打开原理图编辑器 原理图编辑 给输入输出引脚命名 保存原理图 编译 时序模拟 引脚分配 Node Name Direction Location 1 AA[0] Output PIN_AF10 2 AA[1] Output PIN_AB12 3 AA[2] Output PIN_AC12 4 AA[3] Output PIN_AD11 5 AA[4] Output PIN_AE11 6 AA[5] Output PIN_V14 7 AA[6] Output PIN_V13 8 BB[0] Output PIN_V20 9 BB[1] Output PIN_V21 10 BB[2] Output PIN_W21 11 BB[3] Output PIN_Y22 12 BB[4] Output PIN_AA24 13 BB[5] Output PIN_AA23 14 BB[6] Output PIN_AB24 15 Pin_name Input PIN_AB23 引脚分配 下载到DEII实验板验证 三.4位数字频率计设计 1.设计要求 设计4位数字频率计,测频范围0000~9999Hz。用DEII实验板验证。 数字频率计的工作原理 当闸门信号(宽度为1s的正脉冲)到来时,闸门开通,被测信号通过闸门送到计数器,计数器开始计数,当闸门信号结束时,计数器停止计数。由于闸门开通的时间为1s,计数器的计数值就是被测信号频率。为了使测得的频率值准确,在闸门开通之前,计数器必须清零。为了使显示电路稳定地显示频率值,在计数器和显示电路之间加了锁存器,当计数器计数结束时,将计数值通过锁存信号送到锁存器。 图2 数字频率计原理框图 图3 数字频率计原理框图工作时序 控制电路在时基电路的控制下产生三个信号:闸门信号、锁存信号和清零信号。各信号之间的时许关系如图所示。 3.数字频率计顶层原理图设计 图4 数字频率计顶层原理图 原理说明: 图中共有四个模块:CNT10模块、LATCH4模块、DECODER模块、CONTROL模块,各模块之间的关系如图所示。CONTROL模块为频率的控制器,产生满足时序要求的控制信号;四个十进制计数器CNT10组成10000进制计数器,使频率计的测量范围达到0-9999Hz;LATCH4模块用于锁存计数器计数结果;DECODER模块将计数器输出的8421BCD码转换为七段显示码。 数字频率计底层模块仿真 (1)CNT10仿真 图5 CNT10 模块仿真结果 (2)LATCH4仿真 图6 LATCH4模块仿真结果 (3)DECODER模块仿真结果 图7 Decoder模块仿真结果 CONTROL模块仿真结果 图8 Control模块仿真结果 5.数字频率操作过程 进入Windows操作系统,打开Quartus II软件 建立工作文件夹和设计项目 打开原理图编辑器 原理图编辑 给输入输出引脚命名 保存原理图 编译 18、时序模拟 19、引脚分配 20、下载到DEII实验板验证 四.实验体会 在整个课程设计完后,我总的感觉是:收获颇丰。以前上课都是上一些最基本的东西而现在却可以将以前学的东西作出实际的东西。在这个过程中,我的确学得到很多在书本上学不到的东西,如:如何利用现有的元件组装得到设计利用计算机来画图等等。但也遇到了不少

文档评论(0)

136****5194 + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档