FPGA实验一-计数器.docxVIP

  1. 1、本文档共5页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
PAGE PAGE #欢迎下载 精品文档 实验题目计数器 实验内容 1、 设计一个8位的计数器; 2、 计数器包含有时钟信号elk、置数端load及置数输入端d_in、始能端en、清零端clr 以及达到最高位100时的初始计数端m 3、 进一步熟悉Quartusll软件的功能及环境。 详细设计 设计步骤如下: 1、 设计8位的计数器,计数器包含有时钟信号 elk、置数端load及置数输入端d_in、始能 端en、清零端elr以及达到最高位100时的初始计数端 m 2、 编译,查找错误,进入仿真环境; 三、仿真结果 (1)刚开始有置数load信号时得到的具体仿真图如下:由图可以分析得出计数器包含有时钟信号 elk、置数端load及置数输入端d_in、始能端en (1)刚开始有置数load信号时得到的具体仿真图如下: 由图可以分析得出计数器包含有时钟信号 elk、置数端load及置数输入端d_in、始能端en、 清零端elr以及达到最高位100时的初始计数端m置数时的输入端d」n,当load端位1时, 输出端out输出为5,可见置数端起到作用。 他imuht屏ft/得 SO * ? /rirnid型心itMc SO 丄?2-tmJate7lt/eh J /s^rrtilate/ltZbad £□ OJ /scinf?ulate/!t/d^an E 0- /sdmijla 応/Ib/nn 1U 12 :000n tjJSJf I 301 rtt 使用modelsim仿真图如下: 0 ns to 221 ns 100 正怕据状态下港奉信 言到橇可C语出2 1 Delia? 2 (2)当计数计到100时要求它从10开始继续计数,具体仿真图形如下: 精品文档蚪 Eilc tLl Kier LteuccI 耗電;uuy Iwls Xuulot Ssl[ stL-ARk !V?ViBjE,K?Kait筒 21 r.tT 3 精品文档 蚪 Eilc tLl Kier LteuccI 耗電;uuy Iwls Xuulot Ssl [ st L-ARk !V?ViBjE,K?K ait 筒 21 r.t T 3 ttj 4 i* V*lu# tr tb-U ■* ■*h IT 函? 因nrat helLf 、.J £ I V Id 征―丫 『■一 k _ io __*rr~ _厂 ll ,;; r~ 亡二 SM命僅更Nil: rsmniMf/in 幵踊 计紅童进:“t吉 可见编写的计数器在正常计数的情况下是从 5计到100的循环计数器。当en为1, load端 为0,clr为1时,正常计数。其中en为始能端 使用modelsim仿真如下: 1955 ns 1955 ns to 2176 ns Now: 3 us Delta: 2 / ” —— 所編程手肖说論1A后从10开始计, 意思为壬正规i+数时KU、io^JioqK bO 四、 调试情况,设计技巧及体会 通过本次实验我更加熟悉了利用 verilo语言来描述电路,同时熟悉了利用软件仿真电路的 逻辑功能并进行验证和分析。使我对 QuartusII有了初步的了解,同时让我了解到硬件可以用 软件来完成,此软件的模拟仿真给我们学习数字电路有很大的帮助,形象的表达了信号的输出。 通过本次实验,除modelsim软件仿真电路以外,我又学会了 QuartusII仿真软件的使用,觉得 两个软件各自有各自的优点。 五、源程序清单 (1)verilog语言编辑的8位计数器: module coun ter(m,d_in ,clk,clr,e n,load,out); in put clk,clr,e n,l oad; in put [7:0] d」n ,m; output [7:0] out ; reg [7:0] out; always @ (posedge clk) beg in if(en) beg in 3 3欢迎。下载 精品文档 if (clr) out=8d0; else if (load) out=d_in; else if(out == 8d100) out=m; else out=out+1; end else out=8bZZZZZZZZ; end endmodule (2)激励如下: module stimulate; reg CLK,CLR,EN,LOAD; reg [7:0] D_IN,M; wire [7:0] OUT ; counter tt (M,D_IN,CLK,CLR,EN,LOAD,OUT); initial CLK =0; always #10 CLK=~CLK; initial begin EN=1; CLR =0; CLR = 1; D_IN= 5; LO

文档评论(0)

laugh + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档