EDA课程设计数字钟.docVIP

  1. 1、本文档共16页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
PAGE PAGE 2 北 华 航 天 工 业 学 院 《EDA技术综合设计》 课程设计报告 报告题目: 利用VHDL语言进行数字钟的设计 作者所在系部: 电子工程系 作者所在专业: 自动化 作者所在班级: B08221 作 者 姓 名 : 刘一霖 指导教师姓名: 崔瑞雪 完 成 时 间 : 2010-11-30 内 容 摘 要(重写摘要) 设计一个数字钟: 要求: 1、具有时,分,秒,计数显示功能,以24小时循环计时。 2、具有清零,调节小时、分钟功能。 3、具有整点报时功能,整点报时的同时LED灯花样显示。 原理: 在同一芯片EPF10K10上集成了如下电路模块: 1.时钟计数: 秒——60进制BCD码计数,分——60进制BCD码计数, 时——24进制BCD码计数,同时整个计数器有清零,调分,调时功能,在接近整数时间能提供报时信号。 2.具有驱动8位八段共阴扫描数码管的片选驱动信号输出和八段字形译码输出。 3.蜂鸣器在整点时有报时驱动信号产生。 4.LED灯在整点时有花样显示信号产生。 关键词: EDA、可编程逻辑器件、计数器、译码器 目 录(页码不对) 一.概述5 二.各模块设计5 ⒈秒计数器5 ⒉分计数器7 ⒊小时计数器8 ⒋报警模块9 ⒌时间数据扫描分时选择模块文本12 ⒍译码器13 ⒎程序下载及硬件调试15 三.心的体会15 课程设计任务书 课题名称 数字钟 完成时间 11月30 指导教师 崔瑞雪 职称 副教授 学生姓名 刘一霖 班 级 B08221 总体设计要求和技术要点 要求: 1、具有时,分,秒,计数显示功能,以24小时循环计时。 2、具有清零,调节小时、分钟功能。 3、具有整点报时功能,整点报时的同时LED灯花样显示。 原理: 在同一芯片EPF10K10上集成了如下电路模块: 1. 时钟计数:秒——60进制BCD码计数,分——60进制BCD码计数, 时——24进制BCD码计数,同时整个计数器有清零,调分,调时功能,在接近整数时间能提供报时信号。 2.具有驱动8位八段共阴扫描数码管的片选驱动信号输出和八段字形译码输出。 3.蜂鸣器在整点时有报时驱动信号产生。 4.LED灯在整点时有花样显示信号产生。 工作内容及时间进度安排 ⒈各种进制的计数及时钟控制模块(10进制、6进制、24进制)的设计。 ⒉扫描分时显示、译码模块;。 ⒊彩灯、扬声器编码模块。 课程设计成果 1.能熟练使用maxpulas-Ⅱ进行程序设计 2.用VHDL编写数字钟程序 一.概述:设计一个数字钟。 要求: 1、具有时,分,秒,计数显示功能,以24小时循环计时。 2、具有清零,调节小时、分钟功能。 3、具有整点报时功能,整点报时的同时LED灯花样显示。 原理: 在同一芯片EPF10K10上集成了如下电路模块: 1.时钟计数: 秒——60进制BCD码计数,分——60进制BCD码计数, 时——24进制BCD码计数,同时整个计数器有清零,调分,调时功能,在接近整数时间能提供报时信号。 2.具有驱动8位八段共阴扫描数码管的片选驱动信号输出和八段字形译码输出。 3.蜂鸣器在整点时有报时驱动信号产生。 4.LED灯在整点时有花样显示信号产生。 二.各模块设计 ⒈秒计数器模块: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity second is port(clk,reset,setmin:in std_logic; enmin:out std_logic; qh:buffer std_logic_vector(2 downto 0); ql:buffer std_logic_vector(3 downto 0) ); end second; architecture fun of second is signal enmin_1,enmin_2:std_logic; begin enmin_2=(setmin and clk); enmin=(enmin_1 or enmin_2 ); process(clk,reset,setmin) begin if(reset=0)then ql=0000;qh=000; elsif(clkevent and clk=1)then If(ql=9)then ql=0000; if(qh=5)then qh

文档评论(0)

_______ + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档