简单的FIFO仿真以与程序文件.doc

  1. 1、本文档共8页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
写了一个简单的同步FIFO 的源程序以及Testbench仿真程序。主要参考了特权同学Xilinx fifo的仿真。有图有真相,抛砖引玉。 下面是程序: module fifo ( clk, rst, // fifo_wrdb, //din fifo_rddb,//dout fifo_full, fifo_empty ); input clk; input rst; //input[7:0]fifo_wrdb; output fifo_full; output fifo_empty; // reg fifo_rdrdy;//fifo读数据有效信号 output [7:0]fifo_rddb;//fifo读数据总线 /*************************************************/ //wire [7:0]fifo_wrdb_r; //assign fifo_wrdb_r = fifo_wrdb; //定时产生32个FIFO数据写入和读出操作 reg[7:0] fifo_wrdb; //FIFO写入数据 reg fifo_wren; //FIFO写使能信号 reg fifo_rden; //FIFO读使能信号 reg[9:0] cnt; always @(posedge clk or negedge rst) if(!rst) cnt = 10d0; else cnt = cnt+1b1; always @(posedge clk or negedge rst ) if(!rst) begin fifo_wren = 1b0; fifo_rden = 1b0; fifo_wrdb = 8d0; end else if((cnt 10d0) (cnt 10d33)) begin //连续32个FIFO数据写入 fifo_wren = 1b1; fifo_rden = 1b0; fifo_wrdb = cnt[7:0]; end else if((cnt 10d100) (cnt 10d133)) begin //连续32个FIFO数据读出 fifo_wren = 1b0; fifo_rden = 1b1; fifo_wrdb = 8d0; end else begin fifo_wren = 1b0; fifo_rden = 1b0; fifo_wrdb = 8d0; end /**********************************************************/ //FIFO读数据有效标志位 // always @(posedge clk or negedge rst) // if(rst) fifo_rdrdy = 1b0; // else fifo_rdrdy = fifo_rden; /************************************************************/ fifo_controller fifo_controller_ck( .clk(clk), // input clk .rst(rst), // input rst .din(fifo_wrdb), // input [7 : 0] din .wr_en(fifo_wren), // input wr_en .rd_en(fifo_rden), // input rd_en .dout(fifo_rddb), // output [7 : 0] dout .full(fifo_full), // output full .empty(fifo_empty) // output empty ); endmodule 下面是仿真程序: module fifo_text; // Inputs reg clk; reg rst; // Outputs wire [7:0] fifo_rddb; wire fifo_full; wire fifo_empty; // Instantiate the Unit Under Test (UUT) fifo uut ( .clk(clk), .rst(rst), .fifo_rddb(fifo_rddb),

文档评论(0)

xiangxiang + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档