ASIC设计课程实验报告.docxVIP

  1. 1、本文档共21页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
北京邮电大学 ASIC设计 实验报告 姓名: 学号: 班级: 学院: 实验一 多路选择器的设计 一、实验目的 掌握门级电路与行为级电路设计的区别; 掌握逻辑电路设计方法; 熟悉测试程序的编写; 注意代码规范性要求。 二、实验内容 设计一个有两位选择信号的四选一多路选择器,可以根据控制信号从两个或多个输入源中选择一个予以输出。 用基本逻辑门来实现多路选择器,即门级语言进行描述。参考门级电路如下图。 用行为级语言进行描述,通过case语句重新设计仿真。 编写多路选择器的测试激励模块,并通过Synopsys工具进行仿真。 三、实验代码 门级描述: 源程序 module mux(s0,s1,i0,i1,i2,i3,out); input s0,s1,i0,i1,i2,i3; output out; wire s1n,s0n,y0,y1,y2,y3; assign s1n=~s1; assign s0n=~s0; assign y0=i0s1ns0n; assign y1=i1s1ns0; assign y2=i2s1s0n; assign y3=i3s1s0; assign out=y0|y1|y2|y3; endmodule 测试程序 `timescale 1ns/1ps module mux_test(); reg s0,s1,i0,i1,i2,i3; mux(.s0(s0),.s1(s1),.i0(i0),.i1(i1),.i2(i2),.i3(i3),.out(out)); initial begin $dumpvars(2,mux_test); i0=1b0; i1=1b1; i2=1b1; i3=1b0; s0=1b0; s1=1b0; #10 s0=1b1; #10 s1=1b1; #10 s0=1b0; #10 s1=1b0; #10 $finish; end endmodule 行为级描述: 源程序: module mux2(i0,i1,i2,i3,s,out); input i0,i1,i2,i3; input [1:0]s; output out; reg out; always @(i0 or i1 or i2 or i3 or s) begin case (s) default out=0; 2b00: out=i0; 2b01: out=i1; 2b10: out=i2; 2b11: out=i3; endcase end endmodule 测试程序 `timescale 1ns/1ps module mux2_test(); reg i0,i1,i2,i3; reg [1:0]s; mux2(.s(s),.i0(i0),.i1(i1),.i2(i2),.i3(i3),.out(out)); initial begin $dumpvars(2,mux2_test); i0=1b0; i1=1b1; i2=1b0; i3=1b1; s=2b00; #10 s=2b01; #10 s=2b10; #10 s=2b11; #10 s=2b00; #10 $finish; end endmodule 四、仿真结果 门级描述: 行为级描述: 五、思考题: 门级电路与行为级电路设计的主要区别是什么? 答:门级由基本的门电路来描述。行为级详细描述何时读进输入,何时对输入进行操作,何时将操作结果写出到输出端口,而无需指出执行每个操作的时钟周期,留待综合时决定这些操作,需要行为级综合器将其转为RTL级或者门级。 将所设计的两种代码附于报告中。 用Synopsys工具对所编写模块进行仿真,将门级电路与行为级电路的仿真波形截图对比,说出其不同点。 答:门级电路与行为级电路的仿真波形几乎相同,只不过在门级电路中,两个选择信号是分开的,均为一位的输入,而行为级电路中两个选择信号是和在一起的,成为一个两位的输入信号。 如果设计中改用开关级建模,你的思路是什么? 答:将门级电路细化,把不同的门转化为相应的开关组合模块,然后按照门级电路进行连接。 实验二 交通信号灯控制器设计 一、实验目的 掌握时序逻辑电路设计,注意阻塞赋值与非阻塞赋值; 熟练运用状态机设计; 熟悉测试程序的编写; 注意代码规范性的要求。 二、实验内容 根据以下功能描述设计一个交通信号灯控制器: 该交通信号灯控制器用于控制一条主干道与一条乡村公路的交叉口的交通,它必须具有下面的功能: 由于主干道上来往的车辆很多,因此控制主干道的交通信号具有最高优先级,在默认情况下主干道的绿灯点亮; 乡村公路间断性地有车经过,有车来时乡村公路的交通灯必须变为绿灯,只需维持一段足够长的时间,以便让车通

文档评论(0)

celkhn5460 + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档