EDA出租车计费器,课设.docVIP

  1. 1、本文档共24页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
课 程 设 计 报 告 课程名称 EDA技术 课题名称 出租车计费系统 专 业 通信工程 班 级 学 号 姓 名 指导教师 2015年 月 日 湖南工程学院 课 程 设 计 任 务 书 课程名称 EDA技术 课 题 出租车计费系统 专业班级 学生姓名 学 号 指导老师 审 批 任务下达日期 2015 年 月 日 任务完成日期 2015 年 月 日 《数字系统与逻辑设计》课程设计任务书 一 、设计目的 全面熟悉、掌握VHDL语言基本知识,掌握利用VHDL语言对常用的的组合逻辑电路和时序逻辑电路编程,把编程和实际结合起来,熟悉编制和调试程序的技巧,掌握分析结果的若干有效方法,进一步提高上机动手能力,培养使用设计综合电路的能力,养成提供文档资料的习惯和规范编程的思想。 二、设计要求 1、设计正确,方案合理。 2、程序精炼,结构清晰。 3、设计报告5000字以上,含程序设计说明,用户使用说明,源程序清单及程序框图。 4、上机演示。 5、有详细的文档。文档中包括设计思路、设计仿真程序、仿真结果及相应的分析与结论。 三、进度安排 第十七周 星期二 课题讲解,查阅资料   星期三 总体设计,详细设计 星期四 编程,上机调试、修改程序 星期五: 答辩 星期六-星期天:撰写课程设计报告 附: 课程设计报告装订顺序:封面、任务书、目录、正文、评分、附件(A4大小的图纸及程序清单)。 正文的格式:一级标题用3号黑体,二级标题用四号宋体加粗,正文用小四号宋体;行距为22。 正文的内容:一、课题的主要功能;二、课题的功能模块的划分(要求画出模块图);三、主要功能的实现;四、系统调试与仿真;五、总结与体会;六、附件(所有程序的原代码,要求对程序写出必要的注释);七、评分表。 目 录 TOC \o 1-2 \h \u HYPERLINK \l _Toc15429 一、出租车计费系统的总体设计 PAGEREF _Toc15429 2 HYPERLINK \l _Toc5696 1.1 出租车计费设计 PAGEREF _Toc5696 2 HYPERLINK \l _Toc19678 1.2 基本设计思想 PAGEREF _Toc19678 2 HYPERLINK \l _Toc16246 二、出租车计费系统的功能实现 PAGEREF _Toc16246 3 HYPERLINK \l _Toc14238 2.1 系统的总体框图 PAGEREF _Toc14238 3 HYPERLINK \l _Toc10281 2.2 程序流程图 PAGEREF _Toc10281 4 HYPERLINK \l _Toc28080 2.3系统各功能模块的实现 7 HYPERLINK \l _Toc12566 三、系统调试与仿真 PAGEREF _Toc12566 12 HYPERLINK \l _Toc24233 四、总结与体会 PAGEREF _Toc24233 17 HYPERLINK \l _Toc5010 五、评分表 19 一、出租车计费系统的总体设计 1.1 出租车计费设计 本系统为出租车计费系统,有计费、计里程、复位、显示等功能,当按下reset复位键(S1)后开始重新计费和计里程数(复位,计费回复为起步价,里程清空),起步价是6元/2公里,往后汽车每行使1公里计费增加1元。在2公里内,只有计公里数增加,计费不变,当超过两公里后开始跳表。公里数每增加100米,公里数自增0.1公里,公里数每增加1000米,计费自增1元。(根据生活中打的的实际情况,当路程在0-1.9公里时,计费一直显示6元,当路程跳为2公里(满两公里),计费自增1,此后每次进位计费都要自增1) 1.2 基本设计思想 本实验要完成的任务就是

文档评论(0)

celkhn5460 + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档