基于IP核乘法器设计_.docVIP

  1. 1、本文档共7页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
基于IP核乘法器设计_

Xilinx FPGA实验报告 ——基于IP核的乘法器的设计 基于IP核的乘法器的设计 实验内容 利用ISE软件的IP核和VHDL进行混合设计一个16位的乘法器; 用ISE进行仿真并且进行性能比较; 实验目的 熟悉Xilinx的ISE软件的使用和设计流程; 掌握ISE仿真方法; 实验环境 PC机一台; Xilinx的ISE软件一套; 实验原理 图1给出了16位乘法器的原理图 Xilinx ISE的core generator提供了功能强大的IP(intellectual Property)核,主要有 Basic Elements, Clocking, Communication Networking , Digital Signal Processing, I/O Interface, Math Functions, Memories Storage Elements, Standard Bus Interface。通过使用 这些IP核资源可以大大缩短设计周期,提高设计效率。在本设计中,使用了IP核Math Function中的Multiplier资源。通过GUI接口,可以很容易设计任意位的,有符号或无 符号的乘法器。图2给出了IP核提供的乘法器的原理图。 实验步骤 打开ISE软件创建一个新的工程,并选择器件的类型; 用IP核生成一个16位的乘法器multiplier1; 用VHDL语言生成一个16位的乘法器multiplier2; 用例化语句component,port map合成一个顶层文件top.vhd; 调用ISE仿真器进行行为仿真,观察仿真结果; 调用ISE仿真器进行时序仿真,观察仿真结果; 实验结果 代码 顶层文件 Multiply_top.vhd library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity Multiply_top is Port ( number1 : in STD_LOGIC_VECTOR (15 downto 0); number2 : in STD_LOGIC_VECTOR (15 downto 0); result1 : out STD_LOGIC_VECTOR (31 downto 0); result2 : out STD_LOGIC_VECTOR (31 downto 0); clock : in STD_LOGIC); end Multiply_top; architecture Behavioral of Multiply_top is component Multiply port(A :in STD_LOGIC_VECTOR (15 downto 0); B: in STD_LOGIC_VECTOR (15 downto 0); CLK: in STD_LOGIC; P:out STD_LOGIC_VECTOR (31 downto 0)); end component; component Multiply2 port(A :in STD_LOGIC_VECTOR (15 downto 0); B: in STD_LOGIC_VECTOR (15 downto 0); CLK: in STD_LOGIC; P:out STD_LOGIC_VECTOR (31 downto 0)); end component; begin U0: Multiply port map(A=number1,B=number2,CLK=clock,P=result1); U1: Multiply2 port map(A=number1,B=number2,CLK=clock,P=result2); end Behavioral; 乘法器 Multiply2.vhd library IEEE; use IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; entity Multiply2 is port(A :in STD_LOGIC_VECTOR (15 downto 0); B: in STD_LOGIC_VECTOR (15 downto 0); CLK: in STD_LOGIC; P:out STD_LOGIC_VECTOR (31 dow

文档评论(0)

bokegood + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档