华为软件编程规范和范例推荐.pdf

  1. 1、本文档共48页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
华为软件编程规范和范例推荐

目 录 1 排版 2 注释 3 标识符命名 4 可读性 5 变量、结构 6 函数、过程 7 可测性 8 程序效率 9 质量保证 10 代码编辑、编译、审查 11 代码测试、维护 12 宏 1 1 11 排版 ¹1-1:程序块要采用缩进风格编写,缩进的空格数为4个。 说明:对于由开发工具自动生成的代码可以有不一致。 ¹1-2:相对独立的程序块之间、变量说明之后必须加空行。 示例:如下例子不符合规范。 if(!valid_ni(ni)) { ...// programcode } repssn_ind =ssn_data[index].repssn_index; repssn_ni =ssn_data[index].ni; 应如下书写 if(!valid_ni(ni)) { ...// programcode } repssn_ind =ssn_data[index].repssn_index; repssn_ni =ssn_data[index].ni; ¹1-3:较长的语句(80字符)要分成多行书写,长表达式要在低优先级操作符处划分新行, 操作符放在新行之首,划分出的新行要进行适当的缩进,使排版整齐,语句可读。 示例: perm_count_msg.head.len =NO7_TO_STAT_PERM_COUNT_LEN +STAT_SIZE_PER_FRAM *sizeof(_UL); act_task_table[frame_id *STAT_TASK_CHECK_NUMBER+index].occupied =stat_poi[index].occupied; act_task_table[taskno].duration_true_or_false =SYS_get_sccp_statistic_state( stat_item); report_or_not_flag=((taskno MAX_ACT_TASK_NUMBER) (n7stat_stat_item_valid (stat_item)) (act_task_table[taskno].result_data != 0)); ¹1-4:循环、判断等语句中若有较长的表达式或语句,则要进行适应的划分,长表达式要在 低优先级操作符处划分新行,操作符放在新行之首。 示例: if((taskno max_act_task_number) (n7stat_stat_item_valid (stat_item))) { ...// programcode } for(i=0,j=0;(iBufferKeyword[word_index].word_length) (jNewKeyword.word_length); i++,j++) { ...// programcode } for(i=0,j=0; (ifirst_word_length) (jsecond_word_length); i++,j++) { ...// programcode } ¹1-5:若函数或过程中的参数较长,则要进行适当的划分。 示例: n7stat_str_compare((BYTE *)stat_object, (BYTE*) (act_task_table[taskno].stat_object), sizeof (_STAT_OBJECT)); n7stat_flash_act_duration( stat_item, frame_id *STAT_TASK_CHECK_NUMBER +index, stat_object ); ¹1-6:不允许把多个短语句写在一行中,即一行只写一条语句。 示例:如下例子不符合规范。 rect.length=0; rect.width=0; 应如下书写 rect.length=0; rect.width =0; ¹1

您可能关注的文档

文档评论(0)

bodkd + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档