eda 第7讲 状态机的设计选讲.ppt

  1. 1、本文档共77页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
eda 第7讲 状态机的设计选讲

有限状态机设计;为什么要使用状态机;回忆计数器设计;Regs;Regs;Regs;Regs;Regs; 语音信箱控制系统用于控制对语音信箱的有关操作,允许用户发送信息、重阅信息、存储信息和擦除信息,状态转移图如图。; 设计一个空调系统的有限状态自动机,它的两个输入端TEMP_HIGH 和TEMP_LOW分别与传感器相连,用于检测室内温度。如果室内温度正常,则TEMP_HIGH和TEMP_LOW均为‘0’。如果室内温度过高,则TEMP_HIGH为‘1’,TEMP_LOW为‘0 ’。如果室内温度过低,则TEMP_HIGH为‘0’, TEMP_LOW为‘1’。根据TEMP_HIGH 和TEMP_LOW的值来判断当前的状态(太热TOO_HOT,太冷 TOO_COLD或适中JUST_RIGHT),并决定HEAT和COOL的输出值。; 状态机(STATE MACHINE)可以说是一个广义时序电路,触发器, 计数器,移位寄存器都算是它的特殊功能的一种. 在电子设计自动化应用当中,状态机经常是担任CPU 的功能,从某种意义上讲状态机的应用让系统具有了智能化的功能. 传统的逻辑电路设计在描述状态机的表示上,以状态图(STATE DIAGRAM)方式最为清晰且容易理解.根据输入,输出及状态关系,状态图可以分为: 1. 状态输出(输出仅与状态有关) 2.输入状态输出(输出与状态输入有关); 有限状态机可以高效的用来实现控制功能。状态机可以分为两种基本类型:Mealy型状态机和Moore型状态机。 Moore型状态机的输出仅是当前状态的函数,属于同步输出状态机。 Mealy型状态机的输出是当前状态和输入信号的函数,不依赖时钟的同步,属于异步输出状态机。 ;?;状态机的表示方法1;状态机的表示方法2;状态机的表示方法3;状态机的表示方法3;状态机的代码实现;1. 说明部分;用户自定义数据类型定义语句;;状态机的设计举例;状态转换图设计(Moore);波形;状态转换图设计(Mealy);状态机的代码实现;状态机的代码实现;状态机的代码实现;状态寄存器进程描述;状态机的代码实现;状态转换进程描述;状态机的代码实现;输出进程描述;状态机设计小结;状态机设计小结;? 下面采用状态机设计一个一位比较器,比较两个一位串行二进制数n1,n2的大小,二进制数序列由低位向高位按时钟节拍逐位输入。 分析:两数比较有三种结果: n1 = n2 设为状态s1;输出为y=00; n1 n2 设为状态s2;输出为y=10; n1 n2 设为状态s3;输出为y=01; 输入有四种情况, 分别为00,01,10,11。 ;1.? 双进程有限状态机描述如下: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; entity state is port ( n1, n2, clk: in std_logic; y: out std_logic_vector(1 downto 0)); end state;;architecture stamach of state is type statype is (s1, s2, s3); --自定义statype类型 signal present_state , next_state : statype; signal n: std_logic_vector(1 downto 0); begin n=n1n2; sta_com: process (present_state, n) begin case present_state is when s1= y=”00”; --现态为s1时,输出”00” if (n=”10”) then next_state=s2; --输入为”10”,次态为s2 elsif (n=”01”) then next_state=s3; --输入为”01”,次态为s3 else next_state=s1; end if;;when s2= y=”10”; if (n=”01”) then next_state=s3; elsif (n=”00” or n=”11”) then next_state=s1; else next_s

文档评论(0)

gz2018gz + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档