电子设计竞赛MicoBlaze.ppt

  1. 1、本文档共278页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
MicroBlaze软核处理器原理 --MicroBlaze软核处理器结构 下面给出一个典型的总线结构。 片上可编程系统设计实验 --实验内容 特别注意: 在开始使用XILINX的EDK软件开始片上可编程系 统开发前,需要将光盘中的名为HEP_excd2-500的板支 持包文件夹复制到 D:\Xilinx\10.1\EDK\board\Xilinx\boards下 实验一:工程的建立 --使用BSB向导 5.在Debug and Optiomization下,设置Optimization Level为No Optimization。这样保证源代码中的for loop在编译时不会被优化,点击OK。 6.点击图标 编译源代码。这将自动运行Libgen来产生所要求的库。 实验三:添加定制的IP到系统 --创建外设 实验五:使用SDK工具 --添加定时器和中断控制器 使用microblaze_0_INTERRUPT网络,连接xps_intc_0 实例的中断输出端口Irq到Microblaze中断输入端口。 点击xps_intc_0域的intr域,来打开Interrupt Connection 对话框。点击左侧的timer1,并且点击符号添加Connected Interrupts域,点击OK按钮。 实验五:使用SDK工具 --添加定时器和中断控制器 图 连接定时器和中断控制器(1) 实验五:使用SDK工具 --添加定时器和中断控制器 如下图所示,将delay实例的CaptureTrig0端口的名字 为net_gn。 图 连接定时器和中断控制器(2) 实验五:使用SDK工具 --添加定时器和中断控制器 8.双击delay打开它的参数对话框,将Only one Timer is Present选中,点击OK按钮; 9.选择Hardware-Generate Bitstream。 实验五:使用SDK工具 --创建SDK软件工程 打开SDK,并创建一个新的软件应用工程,导入lab5.c。下面给出实现步骤: 1.在XPS主界面下,选择Software-Launch Platform Studio SDK。然后选择工具栏上的Xilinx Tools- Application Wizard; 2.如下图,选择Import XPS Application Projects,然后单击OK; 实验五:使用SDK工具 --创建SDK软件工程 图 应用程序向导界面 实验五:使用SDK工具 --创建SDK软件工程 3.选中TestApp_Memory,点击Finish按钮,将建立一个目录SDK_Projects/ TestApp_Memory,这是对BSB所建立的软件应用程序工程的复制; 4.右击lab4.c文件,选择delete; 5.通过选择File-Import添加lab5.c文件。在导入向导界面内,双击File System,并且浏览到F:\ sources目录。选择lab5.c文件,对于Info Folder,浏览并且选择TestApp_Memory。单击Finish; 实验五:使用SDK工具 --创建SDK软件工程 实验五:使用SDK工具 --创建SDK软件工程 6.Navigator标签的左边,双击lab5.c文件在编辑器打 开它。只要文件打开,就被build。 注意到底部的Problems和Console标签,报告一些编译 的错误。每当工程中的文件被编辑和保存时,工程被自动 的建立(build)。 7.在Problem标签下,双击第2个红‘x’。如下图所示, 错误将指向程序的第88行; 实验五:使用SDK工具 --创建SDK软件工程 图 第一个错误 实验五:使用SDK工具 --创建SDK软件工程 8.添加没有声明的全局变量声明为unsigned int,将 其初始化为1,并且保存文件,第1个错误被修改完成; 9.点击下一个错误消息,高亮显示源代码中的错误; 10.添加没有声明的全局变量为int,将其初始化为0, 并且保存文件,第2个错误被修改完成。 实验五:使用SDK工具 --编写中断句柄 创建中断句柄(中断服务程序)用于XPS定时器 1.在源文件中,找到中断句柄函数。 2.为timer_int_handler函数创建新本地变量: unsigned int csr; 实验五:使用SDK工具 --编写中断句柄 建立一个XP

文档评论(0)

lzhlmcl + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档