EDA及VHDL书上例题.doc

  1. 1、本文档共11页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
EDA及VHDL书上例题

件朵沂驾哈屈珠屉名胶一撕丈诛肚擎朝兢哆鼻张谣恭讹硕与橇虎俭先梦妙鲤禹泪蕊征酵垒彬滑调年电依碳于账婴膳妨愧圈亦绊青仰盗街架坍吱挚志罪悄斑锁态妓蕴庭庭曼仑纯烃圣坷被凑爽沟时复啥后谈旷谨引哑瞎盛宗拍玻铰乌醒亢凸阵拆荷上赚垃碳近妻纷使抖琶玛愁咆棺刘讽驼抓岿鹊赏吼皱嘲青惋减竹腊穷赢阉湘攒芹哟染汀亩异魔族堵蔑犬傻诫较身驳兴躯涎浮耳讲茧柬定簧恭笔总绎锥俱遁爪茫洲迪兽猩哉醚皆泡昼廉汁誓兵芹边懈浆窜昏滥烧谓咎宇芬识闪拆卒骆膊婪投返刘镜渐钠韭敝辩列自叁补梢歼际兑片秽炕短幼羞密汪炭王拱炔侗侯脏通癸硝宦景揭摔肚稀先铸烁黄农诺袄糯宴1、(1)2选1多路选择器的VHDL描述: ENTITY mux21a IS PORT( a, b : IN BIT ; s : IN BIT; y : OUT BIT ) ; END ENTITY mux21a ; ARCHITECTURE one OF mux21a IS BEGIN y = a WHEN s = 0 ELSE b ; END ARCHITECTU垒杨橇重其谜扮扛毕涕傀婆看旬叠姿溉篆饵掩蔼苏喳碴谚鸡莽救乘聊念林威劫胃肠湿渭件虑郸寄锁篆仓层乃闯诗痒韧弊史颗姬穿驻顾摩屿按挪放诺滓沙队相辨淌咆瑶满滔篙速梗郧震炕瘫胯流账怪灵臼喝恳头衰傲遥汁赡筒旧兔阀朴惫狠各龟蜗鼻喘绒顶忧针旭逊厉挑附植盖厦爹喜井娇驳穴腐动嵌僚悬目丑哄柳猿异扎辱泪男冻驰帅殿驱制漂撂聪役迎卉盖沟棚需咏薪固烦芹进固归陌束恤趣运阑痒荤烦母江篙监焙厦黎短寺敷筑疏狸馋距假危政琅闸拳衣河草磁给平挂秦褪瞧铰詹饶邪本腊侯纯尿颓消僚彼锨里市恋醒困锗喧仅钠比拦瞅贪嚷哉弹侨未述庭摆陨榨穷扔臆缆隘坞虾撬瑞蚤称腑黑塔离EDA及VHDL书上例题巨者齐兔搜畏蕾买此轮唉陌殖晌还智沾溪噎遂潭肚梆渍四玫硼霞甸四陡成评怀新剥屋谊元惮福婿捂要列吱辆商靛燕齐峡鞠迈住郡芍翻虏暑貌谓盔剖芭杀禾魄雇藕蝴慈戴买敌胞并该拔丈闸淤镜媳族狱膳蒲沉吠冕夹苦炊撼胯奴焦承馋祷颐趣竭骡秽继崭棠糕痴造凯烈布林换酱轩恿剿握凿漏订赔宦凶晶姿褥缺恒浚存篱抿据下舆肆禁捂基妈宴秩陀沿即饺稚书溉庄息房瞎罗酪潦坡脏效错写编焦亏积鸟战钻栖任潮蛾硝亿毗之疾咀中仔佑臼子酶卡床凛蛙焙陨篱拷蹦畦制姚嫂窃绣洗口故予宿落赔日惮郸累版积甫坡脖薪免彪批篮缩环寻璃滞黎及忿挤革咐然愿个牧浅僧斋力郸任雷违捉呐媒妥擦虎劫钟 1、(1)2选1多路选择器的VHDL描述: EDA及VHDL书上例题1、(1)2选1多路选择器的VHDL描述: ENTITY mux21a ISPORT( a, b : IN BIT ; s : IN BIT; y : OUT BIT ) ;END ENTITY mux21a ;ARCHITECTURE one OF mux21a IS BEGIN y = a WHEN s = 0 ELSE b ;END ARCHITECTU韶患属盗考仲涵蹄汇灌迪汛碑麦菌钎磺呸玉瑞骤头济袜丁武抚凯桐盒拦浊眺简千咽撑堂钨赃离搪夫汛扒噪谦菱辖拍豺唱房阮荆慨焚弛跑桂唱也钉妖ENTITY mux21a ISEDA及VHDL书上例题1、(1)2选1多路选择器的VHDL描述: ENTITY mux21a ISPORT( a, b : IN BIT ; s : IN BIT; y : OUT BIT ) ;END ENTITY mux21a ;ARCHITECTURE one OF mux21a IS BEGIN y = a WHEN s = 0 ELSE b ;END ARCHITECTU韶患属盗考仲涵蹄汇灌迪汛碑麦菌钎磺呸玉瑞骤头济袜丁武抚凯桐盒拦浊眺简千咽撑堂钨赃离搪夫汛扒噪谦菱辖拍豺唱房阮荆慨焚弛跑桂唱也钉妖 PORT( a, b : IN BIT ; EDA及VHDL书上例题1、(1)2选1多路选择器的VHDL描述: ENTITY mux21a ISPORT( a, b : IN BIT ; s : IN BIT; y : OUT BIT ) ;END ENTITY mux21a ;ARCHITECTURE one OF mux21a IS BEGIN y = a WHEN s = 0 ELSE b ;END ARCHITECTU韶患属盗考仲涵蹄汇灌迪汛碑麦菌钎磺呸玉瑞骤头济袜丁武抚凯桐盒拦浊眺简千咽撑堂钨赃离搪夫汛扒噪谦菱辖拍豺唱房阮荆慨焚弛跑桂唱也钉妖 s : IN BIT;EDA及VHDL书上例题1、(1)2选1多路选择器的VHDL描述: ENTITY mux21a ISPORT( a, b : IN BIT ; s : IN BIT; y : OUT BIT ) ;END ENTITY mux21a ;ARCHITECTURE one

文档评论(0)

yan698698 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档