《电子设计自动化EDA》状态机2.docVIP

  1. 1、本文档共7页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
状态机电路设计 状态机(STATE MACHINE),计数器,移位寄存器都算是它的特殊功能的一种.在电子设计自动化应用当中,状态机经常是担任CPU的功能,从某种意义上讲状态机的应用让系统具有了智能化的功能,业界为状态机和CPU的功能一直争论不休,不过事实是更多人倾向于状态机,认为状态机的功能比CPU强大. 下面将带领大家认识一下状态机的基本设计思想. 传统的逻辑电路设计在描述状态机的表示上,以状态图(STATE DIAGRAM)方式最为清晰且容易理解.根据输入,输出及状态关系,状态图可以分为: 1.输入状态输出(输出仅与状态有关) 以下面图形为例,若目前状态机处于状态S0时,输入为0则状态机将维持状态S0不变,若输入改为1则下个状态改成状态S1,但不论输入是什么,此时输出均为0. 这种输出与状态有关,而与输入无关的状态机类型,称为More状态机. 编程实现其功能如下: --***********************状态机********************* 重点提示 整个状态机的电路描述分成两个PROCESS命令语句完成. 第一个PROCESS:负责感测时钟脉冲信号CP在上升沿变化之际,作目前状态(PresentState)切换至下个状态(NextState)的动作. 第二个PROCESS:负责感测输入(DIN)值,目前状态(PresentState)值,由CASE-WHEN语句决定输出(OP)和下个状态(NextState)值. --********************************************************* LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; --********************************************************* ENTITY CH6_5_1 IS PORT(CP :IN STD_LOGIC; --CLOCK DIN:IN STD_LOGIC; --I/P Signal OP :OUT STD_LOGIC --O/P Signal ); END CH6_5_1; --********************************************************* ARCHITECTURE A OF CH6_5_1 IS TYPE STATE IS (S0,S1,S2,S3); --State Type Declare SIGNAL PRESENTSTATE :STATE; --Present State SIGNAL NEXTSTATE :STATE; --Next State BEGIN SWITCHTONEXTSTATE:PROCESS(CP) BEGIN IF CPEVENT AND CP=1 THEN PRESENTSTATE=NEXTSTATE; END IF; END PROCESS SWITCHTONEXTSTATE; CHANGESTATEMODE:PROCESS(DIN,PRESENTSTATE) BEGIN CASE PRESENTSTATE IS WHEN S0= --STATE S0 IF DIN=0 THEN --INPUT=0 NEXTSTATE=S0; ELSE NEXTSTATE=S1; END IF; OP=0; --OUTPUT WHEN S1= --STATE S1 IF DIN=1 THEN --INPUT=1 NEXTSTATE=S1; ELSE NEXTSTATE=S2; END IF; OP=1; --OUTPUT WHEN S2= --STATE S2 IF DIN=1 THEN --INPUT=1 NEXTSTATE=S2; ELSE NEXTSTATE=S3; END IF; OP=0; --OUTPUT WHEN S3= --STATE S3 IF DIN=1 THEN --INPUT=1 NEXTSTATE=S0; ELSE NEXTSTATE=S1; END IF;

文档评论(0)

1243595614 + 关注
实名认证
文档贡献者

文档有任何问题,请私信留言,会第一时间解决。

版权声明书
用户编号:7043023136000000

1亿VIP精品文档

相关文档