quartus ii+modelsim仿真修改版.docx

  1. 1、本文档共9页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
quartusiimodelsim仿真修改版

关于quartusii9.1调用modelsim仿真网上有很多的教程,我在这里也说一下我yongquartus ii调用Modelsim仿真的过程。第一步,先新建工程并写好顶层文件这是我的顶层程序:module modelsim_test(clk,rst_n,div );input clk;input rst_n;output div;reg div;always @ (posedgeclk or negedgerst_n) if(!rst_n) div=1b0; else div=~div;endmodule第二步,编译程序出现编译成功的标志方可。第三步,若是第一次使用quartus ii调用Modelsim仿真的话需要进行如下设置。Tool——options——eda tool options出现如下界面:第四步,进入菜单栏的Assignments——EDA Tool Settings,如下图所示。双击EDA Tool Setting下的simulation选项,弹出如图所示窗口,进行如下的设置第五步,完成以上设置,重新编译工程。打开工程目录,看到多了一个simulation 文件夹,再打开文件夹下的Modelsim文件夹,把quartus ii软件安装文件根目录下的maxii_atoms.v复制到”……simulation\modelsim“文件夹下,该文件是仿真元件库。(因为我用的好似MAX II器件,所以复制的是maxii_atoms.v,若用Cyclone ii器件就要用对应的.v文件。)(maxii_atoms.v在”……quartus\eda\sim_lib”文件夹下面,具体的视实际的安装路径而定)第六步,选择菜单栏下的processing——start进行如下图所示的操作打开”……simulation\modelsim”会看见modelsim_test.vt文件,(若你的顶层文件的模块名用的是clk则你会看见clk.vt文件),打开它,会看见如下的文字:(若找不到modelsim_test.vt文件,就把文件类型选为ALL FILES再查找)`timescale 1 ps/ 1 psmodule modelsim_test_vlg_tst();// constants // general purpose registersregeachvec;// test vector input registersregclk;regrst_n;// wires wire div;// assign statements (if any) modelsim_test i1 (// port map - connection between master ports and signals/registers .clk(clk),.div(div),.rst_n(rst_n));initial begin // code that executes only once // insert code here -- begin // -- end $display(Running testbench); end always // optional sensitivity list // @(event1 or event2 or .... eventn) begin // code executes for every event on sensit

文档评论(0)

yan698698 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档