电子科技大学“数字逻辑设计及应用”数字逻辑X-2.pptVIP

电子科技大学“数字逻辑设计及应用”数字逻辑X-2.ppt

  1. 1、本文档共18页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
* * Text entry with Verilog HDL Chapter 5 Design simulation and HDL Verilog HDL structure Use text entry to replace schematic entry: Large design and time delay can be easily described ; Modification can be easily done. Verilog HDL structure Hardware module: Name、port 、 structure 、function Module name and IO module majority (a,b,c,f); input a,b,c; output f; … … endmodule Signal :port and wire Two kinds of signal : port : input and output; wire : any connect nets in module; The value of signals: 0 1 z x module majority (a,b,c,f); input a,b,c; output f; wire w1,w2,w3; … … endmodule Signal :port and wire Use built_in gates for design Structure description module majority (a,b,c,f); input a,b,c; output f; wire w1,w2,w3; and u1(w1,a,b); and u2(w2,b,c); and u3(w3,a,c); or u4(f,w1,w2,w3); endmodule Logic operators for bit data: y = a b; y = a | b; y = ~ a; y = a ^ b; Logic operations Dataflow description module majority (a,b,c,f); input a,b,c; output f; wire w1,w2,w3; assign w1=ab; assign w2=bc; assign w3=ac; assign f=w1|w2|w3; endmodule module majority (a,b,c,f); input a,b,c; output f; assign f=(ab)|(bc)|(ac); endmodule Dataflow description 4-bits prime-number detector when input is (1,2,3,5,7,11,13), the output is 1, otherwise the output is 0 . Bus signal and its operations module prime (a,f); input [3:0] a; output f; wire [3:0] w; assign w[0]=~a[3]a[0]; assign w[1]=a[2]~a[1]a[0]; assign w[2]=~a[3]~a[2]a[1]; assign w[3]=~a[2]a[1]a[0]; assign f=w[3]|w[2]|w[1]|w[0]; endmodule Bus signal and its operations Text entry Bus signal set Bus signal set module bus (a,b,y1,y2,y3); input[7:0] a,b; output[7:0] y1,y2,y3; assign y1=a b; assign y2=a | b; assign y3=~a; endmodule Bus signal and its operations Bus signal and its operations

文档评论(0)

1243595614 + 关注
实名认证
文档贡献者

文档有任何问题,请私信留言,会第一时间解决。

版权声明书
用户编号:7043023136000000

1亿VIP精品文档

相关文档