扩音器的制作..doc

  1. 1、本文档共16页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
扩音器的制作.

武汉职业技术学院 电信学院 毕业论文 论题专业导师 摘 要 以复杂可编程逻辑器件(CPLD)为核心的数字系统设计,以其编程灵活、集成度高、开发周期短、保密性强等优点被广泛地应用于仪器仪表、汽车电子、航空航天等领域。基于以Altera公司MAX II器件系列EPM240T为核心器件,应用CPLD实现了硬件电路设计、开发板的制作。 当今社会是数字化的社会,是数字集成电路广泛应用的社会。数字集成电路本身在不断地进行更新换代。它由早期的电子管、晶体管、小中规模成电路、发展到超大规模集成电路(VLSIC,几万门以上)以及许多具有特定功能的专用集成电路。但是,随着微电子技术的发展,设计与制造集成电路的任务已不完全由半导体厂商来独立承担。系统设计师们更愿意自己设计专用集成电路(ASIC)芯片,而且希望ASIC的设计周期尽可能短,最好是在实验室里就能设计出合适的ASIC芯片,并且立即投入实际应用之中,因而出现了现场可编程逻辑器件(FPLD),其中应用最广泛的当属现场可编程门阵列(FPGA)和复杂可编程逻辑器件(CPLD)本文较详细地讨论关键词摘 要…………………………………………………2 1概述…………………………………………………3 1.1名称…………………………………………3 1.2产品用途……………………………………5 2 可编程逻辑器件CPLD ……………………………5 3 EMP240的介绍……………………………………… 3.1EPM240的概述………………………………5 3.2 EPM240原理图和各模块功能介绍 ………6 3.3 EPM240功能的实现………………………15 3.4小结 ………………………………………15 4 结束语……………………………………………16 致 谢………………………………………………17 参考文献……………………………………………18 1 概述 1.1 名称:基于EPM240可编程逻辑器系统的设计与实现。 CPLD用EPM240T100C5,该芯片有240个逻辑单元,等效宏单元是192个,资源比较丰富,内有8Kbit Flash的存储空间;12MHz的晶振,为CPLD提供主时钟;ADC0804芯片,用于做模数转换实验,将配合实验9来让大家了解AD的工作。同时让大家了解如何利用CPLD驱动AD和了解状态机;8位按键,让大家了解对按键操作的控制,了解用CPLD检查脉冲和按键消抖控制;DAC0832芯片,用于做数模转换实验,将配合实验10来让大家了解与掌握DA的使用和DDS(直接数字频率合成器)的设计方法;电位器,配合P5做实验九AD控制显示实验;8X8点阵,用于做字符显示实验;8位拨码开关可以配合一些实验,作为输入控制信号;蜂鸣器,可以配合按键使用;6位数码管,采用的是动态连接的方法,用于动态显示实验,在实验中有很多地方应用到数码管显示;电源插座,用于供电,输入直流电7.5V~9V;USB座,用于连接计算器USB口,可以提供5V电压,本开发板使用的核心芯片是EPM240,供电电压为3.3V,直接用USB供电就可以;12个彩灯,使用矩阵排列法,这个彩灯主要是对交通灯实验,模拟一个十字路口的交通灯控制电路;插针P5,用于AD实验用,IN脚是AD的输入(即中间的引脚),左边的引脚是电位器的输入引脚;将最左边的引脚和中间的引脚跳线连接,就调整变阻器就可以做实验了;插针P6,用于DA实验用,OUT为信号的输出,为P6左边的引脚;插针P2,是EPM240的最上面的一排I/O的外扩口,可以便于大家做扩展实验;插针P8,是EPM240的最右面的一排I/O的外扩口,可以便于大家做扩展实验。 1.2 设计产品的用途 2 可编程逻辑器件CPLD CPLD(Complex Programmable Logic Device)复杂可编程逻辑器件,是一种用户根据各自需要而自行构造逻辑功能的数字集成电路。其基本设计方法是借助集成开发软件平台,用原理图、硬件描述语言等方法,生成相应的目标文件,通过下载电缆将代码传送到目标芯片中,实现数字系统设计。以Ahera公司MAX II器件系列EPM240T为核心,PS/2接口电路、矩阵键盘、RS232串口通信以及LED动静态显示为外围电路的CPLD开发板设计与实现。CPLD开发板进行设计采用Altium Designer9.0完成电路原理图绘制及PCB设计,编程及逻辑仿真环境为Altera公司的Quartus II 9.0。 可编程逻辑器件是单片机之外另一种常用的逻辑控制单元。它是一种半定制专用集成电路(ASIC),其功能可由设计者根据自己的需要来加以定义。目前普遍使用的有CPLD 和FPGA 两种,其以工作速度快、集成度高、功耗低、适应性强等优点,

您可能关注的文档

文档评论(0)

dbtx + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档