可编程控制器技术期中.docVIP

  1. 1、本文档共8页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
可编程控制器技术期中.doc

2012~2013学年第一学期《可编程控制器技术及应用》 期中考试题 班级: 姓名: 学号:_____________ 题目 一 二 三 四 五 六 七 总分 登分签名 得分 得分 评卷员 一、填空题:(每空 1 分,共 10分) 要实现电动机的多地控制,应把所有的启动按钮 并联 连接,所有的停机按钮 并联 连接。 PLC采用扫描周期的方式工作,周期分为 输入采样阶 ,程序执行阶段 , 输出刷新阶段 三个阶段。 上升沿脉冲输出指令的符号写法是____PLS____,主控指令的符号写法是___MC____。 STL指令只能用于______S____寄存器。 当PLC处于RUN状态时,辅助寄存器M8000始终处于___接通______状态;辅助寄存器M8002的作用是___plc接通瞬间来一个脉冲__________________________。 得分 评卷员 二、选择题:(每小题2分 共10分) 将正确的选项写对应题前面的()内 ( D )1. PLC的工作方式是A、等待工作方式 B、中断工作方式 C、工作方式D、循环扫描工作方式 0 LD X000 6 LDI X002 12 ANI M102 1 ORI X001 7 AND X004 13 OUT M10 2 ANI X003 8 OR X005 14 ANI X005 3 LD T1 9 ANB 15 OUT M105 4 AND M4 10 ORI M7 16 END 5 ORB 11 OUT Y000 五、请根据以下功能图画出梯形图。(10分) 得分 评卷员 六、画出梯形图的时序图(每题5分,共10分) 得分 评卷员 1、 2、 得分 评卷员 七、设计题(每题10分,共40分) 1、用PLC控制三台电动机,要求第一台电动机启动2秒后,第二台电动机自动启动,再运行3秒后,第三台电动机自行启动,再运行4秒后,三台电动机全部自动停止。启动按钮SB,三台电动机分别用交流接触器KM1、KM2、KM3控制。请画出I/O接口电路图及控制梯形图。 2、用三菱FX2N系列PLC控制四盏彩灯,四盏彩灯分别接到Y1、Y2、Y3、Y4上,按下启动按钮X1后,四盏彩灯按Y1-Y2-Y3-Y4-Y1····的顺序循环点亮,每盏灯每次点亮的时间为1秒,按下停止按钮X2后,彩灯马上熄灭。试设计其控制梯形图。 3、用PLC控制报警装置。按下报警按钮(X1),报警灯(Y0)开始闪亮,同时蜂鸣器(Y1)开始鸣叫。灯光闪烁时亮 0.5s,灭0.5s,闪烁50次后停止,同时蜂鸣器也停止鸣叫。设计其控制梯形图。 4、某一液压工作台工作流程如图所示,由电磁阀控制的行程如表所示,试设计一个使液压工作台能自动循环3次工作的用户程序。设原位行程开关SQ1,启动按钮SB1,停止按钮SB2。请画出I/O分配表,状态转移图,梯形图。 电磁阀 工步 YV1 YV2 YV3 YV4 原位 工位1(7秒) + + 工位2(2秒) + + 工位3(3秒) + + 工位4(2秒) + + + 工位5(3秒) + + + 工位6(3秒) + + + (上表中“+”表示电磁阀通电) 广东机电职业技术学院《可编程控制器技术及应用》期中试题 第 7 页 共 8 页

文档评论(0)

tianma2015 + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档