微机原理习题解答--6.docVIP

  1. 1、本文档共6页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
习题六 1.试说明半导体存储器的分类。 解:按存储信息的特性分为:随机读写存储器RAM和只读存储器ROM两大类。 ① RAM分类:主要有双极型和MOS型两类。 MOS型存储器又可分为静态RAM(简称SRAM)和动态RAM(简称DRAM)。 ② ROM分类 掩膜式ROM:用户不可对其编程,其内容已由厂家设定好,不能更改; 可编程ROM:用户只能对其进行一次编程,写入后不能更改; 可擦除的PROM:其内容可用紫外线擦除,用户可对其进行多次编程; 电擦除的PROM,简称EEPROM或E2PROM),能以字节为单位擦除和改写。 2.试说明CMOS静态存储器基本存储电路数据读、写的原理。 解:存储单元六只NMOS管(T1~T6)组成。见教材中图6.7。T1与T2构成一个反相器,T3与T4构成另一个反相器,两个反相器的输入与输出交叉连接,构成基本触发器,作为数据存储单元。 T1导通、T3截止为0状态,T3导通、T1截止为1状态。T5、T6是门控管,由Xi线控制其导通或截止,他们用来控制触发器输出端与位线之间的连接状态。 T7、T8也是门控管,其导通与截止受Yj线控制,他们是用来控制位线与数据线之间连接状态的,工作情况与T5、T6类似。 只有当存储单元所在的行、列对应的Xi、Yj线均为1时,该单元才与数据线接通,才能对它进行读或写,这种情况称为选中状态。 写操作如下: (1)将欲写入单元的地址加到存储器的地址输入端; (2)在选片信号CS端加上有效电平,使RAM选通; (3)将待写入的数据加到数据输入端; (4)在 线上加入低电平,进入写工作状态; (5)使选片信号无效,数据输入线回到高阻状态。 读操作与写操作基本相同仅使 线上加入高电平。 3.试说明单管DRAM基本存储电路数据读、写的原理。 解:单管DRAM基本存储电路只有一个电容和一个MOS管,是最简单的存储元件结构,如教材中图6.11所示(见下图)。存放的信息是“1”还是“0”,取决于电容中有没有电荷。在保持状态下,行选择线为低电平,V管截止,使电容C基本没有放电回路(当然还有一定的泄漏),其上的电荷可暂存数毫秒或者维持无电荷的“0”状态。 对存储矩阵进行读操作时,若某一行选择线为高电平,则位于同一行的所有基本存储电路中的V管都导通,于是刷新放大器读取对应电容C上的电压值,但只有列选择信号有效的基本存储电路才受到驱动,从而可以输出信息。刷新放大器的灵敏度很高,放大倍数很大,并且能将读得的电容上的电压值转换为逻辑“0”或者逻辑“1”。在读出过程中,选中行上所有基本存储电路中的电容都受到了影响,为了在读出信息之后仍能保持原有的信息,刷新放大器在读取这些电容上的电压值之后又立即进行重写。 在写操作时,行选择信号使V管处于导通状态,如果列选择信号也为“1”,则此基本存储电路被选中,于是由数据输入/输出线送来的信息通过刷新放大器和T管送到电容C。 4.试说明如何对28C64进行字节编程,并说明怎样使用数据轮询的方法判断写操作完成。 解:Intel 28C64是8K×8位的E2PROM芯片。 先进行字节擦除操作,地址线送入相应的地址,当=0,=1,数据线(I/O0 ~ I/O7)都加高电平且VPP加幅度为+21V、宽度为9~15mS的脉冲时,28C64将选中的字节擦除。 再进行字节编程操作,地址保持不变,=0,=1,VPP加幅度为+21V、宽度为9~15 mS的脉冲时,来自数据线(I/O0 ~ I/O7)的数据字节可写入28C64的存储单元中。 接着使用数据轮询的方法判断写操作完成,即采用与读出基本相同的方式,只是VPP=+21V。在编程后,可将28C64中的信息读出,与写入的内容进行比较,以验证写入内容是否正确,数据线为输出状态。 5.试使用62512和27256,在8088系统(最小模式)中设计具有128KB的RAM、64KB的EPROM的存储体,RAM的地址从0000∶0000H开始、EPROM的地址从F000∶0000H开始。 解:62512 的起始地址:00000H,27256 的起始地址:F0000H 地址分配表如下: A19 A18 A17 A16 A15 A14 A13 A12 A11…………A0 地 址 范 围 对 应 芯 片 0 0 0 0 0 0 0 0 0 0 0 0 1 1 1 1 0 ………… 0 1 ………… 1 00000 H 0FFFFH 62512-1# U1 0 0 0 1 0 0 0 1 0 0 0 0 1 1 1 1 0 ………… 0 1 ………… 1 10000 H 1FFFFH 62512-2# U2 1 1 1 1 1 1 1 1 0 0 0 0 0 1

文档评论(0)

zxli + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档