- 1、本文档共16页,可阅读全部内容。
- 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
- 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载。
- 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
该设计论文已经通过各大高校老师审核认可并通过答辩,。欢迎大家下载学习交流。如有疑问可随时联系店主,竭诚为您解答!!
多 功 能 数 字 电 子 钟
设计要求
具有以二十四小时计时、显示、整点报时、时间设置和闹钟的功能。
设计精度要求为1S。
二.系统功能描述
1 . 系统输入:系统状态及校时、定时转换的控制信号为k、mode、set;
时钟信号clk,采用1024Hz;
系统复位信号为reset。输入信号均由按键产生。
系统输出:LED显示输出,蜂鸣器声音信号输出。
多功能数字钟系统功能的具体描述如下:
2. 计时:正常工作状态下,每日按24h计时制计时并显示,蜂鸣器无声,逢整点报时。
3. 校时:在计时状态显示下,按下“set键”,进入“小时”校准状态,之后按下“k键”则进入“分”校准状态,继续按下“k键”则进入“秒复零”状态,第三次按下“k 键”又恢复到正常计时显示状态。
1)“小时”校准状态:在“小时”校准状态下,显示“小时”数码管以1Hz的频率递增计数。
2)“分”校准状态:在“分”校准状态下,显示“分”的数码管以1Hz的频率递增计数。
3)“秒”复零状态:在“秒复零”状态下,显示“秒”的数码管复零。
4. 整点报时:蜂鸣器在“59”分钟的第“51”、“53”、“55”、“57‘秒发频率为512Hz的低音,在“59”分钟的第“59”秒发频率为1024Hz的高音,结束时为整点。
5. 显示:要求采用扫描显示方式驱动6个LED数码管显示小时、分、秒。
闹钟:闹钟定时时间到,蜂鸣器发出周期为1s的“滴”、“滴”声,持续时间为10s;闹钟定时显示。
6. 闹钟定时设置:在闹钟定时显示状态下,按下“set键”,进入闹钟的“时”设置状态,之后按下“k键”进入闹钟的“分”设置状态,继续按下“k 键”则进入“秒”设置状态,第三次按下“k键”又恢复到闹钟定时显示状态。
闹钟“小时”设置状态:在闹钟“小时”设置状态下,显示“小时”的数码管以1Hz的频率递增计数。
闹钟:“分”设置状态:在闹钟“分”设置状态下,显示“分”的数码管以1Hz的频率递增计数。
控制器的MDS图及多功能数字系统结构逻辑框图
控制器的MDS图
S0:显示计时时间 s1:调计时的时 s2:调计时的分 s3:调计时的秒
S4:显示闹铃时间 s5:调闹铃的时 s6:调闹铃的分 s7:调闹铃的秒
多功能数字系统结构逻辑框图
各功能模块设计说明及源程序
控制器:
设计说明:根据外部的输入控制信号,完成各个状态之间的转换,并在相应状态输出相应的控制信号,从而对整个系统的工作进行控制。
控制器:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
entity contl is
port(clk,reset,k,set:in std_logic;
cht,cmt,cst,cha,cma,csa,flashh,,sel_showflashm,flashs:out std_logic);
end contl;
architecture ar of contl is
type state_type is(s0,s1,s2,s3,s4,s5,s6,s7);
signal state:state_type;
begin
process(clk,reset,k,set)
begin
if(rising_edge(clk))then
if(reset=1)then
state=s0;
end if;
case state is
when s1=sel_show=1;
cht=1;flashh=1;
if(k=1)then
state=s2;
else state=s1;
end if;
when s2=sel_show=1;
cmt=1;flashm=1;
if(k=1 )then
state=s3;
else state=s2;
end if;
when s3=sel_show=1;
cst=1;flashs=1;
if(k=1 )then
state=s0;
else state=s3;
end if;
when s4=sel_show=0;
if(k=0 and set=1)then
state=s5;
elsif(k=1 and set=0)then
state=s0;
else state=s4;
end if;
when s5=sel_show=0;
cha=1;flashh=1;
if(k=1)then
state=s6;
else state=s5;
end if;
when s6=sel_show=0;
cma=1;flashm=1;
if(k=1 )then
state=s1;
else state=s0;
end i
文档评论(0)