基于Verilog HDL语言的出租车计价器设计.docVIP

基于Verilog HDL语言的出租车计价器设计.doc

  1. 1、本文档共3页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
上海师范大学本科毕业论文(设计)选题登记表 学生姓名 学号 专业 电子信息工程 学 院 信机学院 指导教师姓名/职称 题 目 基于Verilog HDL语言的出租车计价器设计 本选题的意义及国内外发展简况: 随着日趋完善城市的交通经济的,水平提高,出租车已经成为城市交通必不可少的营运工具分阶段(起步、里程、等待)自动计费成为出租车的最早的计价器全部采用机械齿轮结构,只能完成简单的计程功能。第二代计价器采用了手摇计算机与机械结构相结合的方式,实现了半机械半电子化。此时它在计程的同时还可以完成计价的工作。第三代计价器也就是全电子化的计价器。FPGA设计Verilog HDL语言编程有更强的可读性和移植性,对于检定单位维护和修改参数FPGA/CPLD芯片Verilog HDL设计并加上EDA的仿真技术,能够达到较为理想的效果,也有利于运用自己所学的相关知识在课题设计之中。 研究内容: 系统由计量模块 该出租计价器系统的内容可以采用分立元件来搭建模型,区别与单片机芯片对于此系统的涉及,从而可编程FPGA来设计,相比之下具有设计周期短、易于修改等显著特点和优势。因此,基于Verilog HDL的FPGA来设计一个出租车的计价器。本系统在程序设计环境程序软件编程以及测试 2

文档评论(0)

cai + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档