VHDL考试复习资料.ppt

  1. 1、本文档共34页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
VHDL考试复习资料.ppt

串入串出移位寄存器 D CLK Q q(0) dff1 D CLK Q q(1) dff2 D CLK Q q(2) dff3 D CLK Q q(3) dff4 CLK D1 D0 q(4) z(0)=a; g1:FOR i IN 0 TO 3 GENERATE dffx:dff PORT MAP(z(i),clk,z(i+1)); END GENERATE g1; b=z(n); 生成语句的FOR...GENERATE形式 采用generic语句设定常量 编写程序....... 同步计数器 带有类属参数的多位同步计数器 ENTITY counterN IS GENERIC(n:integer:=8); PORT(clk:IN std_logic; areset:IN std_logic; sset:IN std_logic; enable:IN std_logic; q:BUFFER std_logic_vector(n-1 DOWNTO 0)); END ENTITY counterN; 同步计数器 同步可逆计数器 增加加减计数控制端:updown PROCESS(clk,areset) BEGIN IF(areset=1)THEN q=(OTHERS=0); ELSIF(clkevent AND clk=1)THEN IF(sset=1)THEN q=(OTHERS=1); ELSIF(enable=1)THEN IF(updown=1)THEN q=q+1; ELSE q=q-1; END IF; ELSE q=q; END IF; END IF; END PROCESS; ENTITY counterN IS GENERIC(n:integer:=8); PORT(clk:IN std_logic; areset:IN std_logic; sset:IN std_logic; enable:IN std_logic; updown:IN std_logic; q:BUFFER std_logic_vector(n-1 DOWNTO 0)); END ENTITY counterN; 计数方向控制 三态门电路设计 全加器电路设计 首先设计半加器,在通过结构描述方法连接成全加器。P167 分频电路(秒脉冲电路,给定占空比) 24MHz输入 1:1的高低电平比例 24MHz输入1:2的高低电平比例 VHDL硬件描述语言 电控学院 程鸿亮 题型: 基本概念 一、填空 二、简答 应用 三、程序填空 四、编程 基本概念 状态机的分类 1. 米勒型状态机 组合 逻辑 电路 状 态 寄 存 器 输出Y 输入X clk 下一个状态 米勒型状态机的输出值不但与状态寄存器中所保存的当前状态有关,还与当前的输入数据有关 当前状态 状态机的分类 2. 摩尔型状态机 组合 逻辑 电路 状 态 寄 存 器 输出Y 输入X clk 组合 逻辑 电路 摩尔状态机的输出之和当前的状态有关,次态由当前状态和当前的输入确定。可见摩尔状态机的输出不能够立刻响应输入,需要在时钟边沿到来之后才能够响应。 VHDL语言程序的结构组成 设计的构成 库(library) 程序包(package) 实体说明(entity declaration) 结构体(architecture body) 配置(configuration) 必须的两个部分: 实体说明(entity declaration) 结构体(architecture body) library package entity declaration architectur0 architectur1 architecturn configuration VHDL语言程序的结构组成 实体说明(entity) 描述一个数字电路的接口。如: 一个存储器,需要数据线、地址线、时钟线、读写使能控制线、片选控制线。代码: library ieee; use ieee.std_logic_1164.all; entity ram is port(data:inout std_logic_vector(7 downto 0); addr:in std_logic_vector(15 downto 0); clk:in std_logic; rd_n:in std_logic; wr_n:in std_logic; cs_n:in std_logic); end entity ram; architectur

您可能关注的文档

文档评论(0)

docinppt + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档